PilotInsertTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:3k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Complex::*;
  27. import Controls::*;
  28. import DataTypes::*;
  29. import GetPut::*;
  30. import Interfaces::*;
  31. import LibraryFunctions::*;
  32. import PilotInsert::*;
  33. import Vector::*;
  34. function t idFunc (t in);
  35.    return in;
  36. endfunction
  37. function Symbol#(64,2,14) pilotAdder(Symbol#(48,2,14) x, 
  38.      Bit#(1) ppv);
  39.    
  40.    Integer i =0, j = 0;
  41.    // assume all guards initially
  42.    Symbol#(64,2,14) syms = replicate(cmplx(0,0));
  43.    
  44.    // data subcarriers
  45.    for(i = 6; i < 11; i = i + 1, j = j + 1)
  46.       syms[i] = x[j];
  47.    for(i = 12; i < 25; i = i + 1, j = j + 1)
  48.       syms[i] = x[j]; 
  49.    for(i = 26; i < 32 ; i = i + 1, j = j + 1)
  50.       syms[i] = x[j];  
  51.    for(i = 33; i < 39 ; i = i + 1, j = j + 1)
  52.       syms[i] = x[j];   
  53.    for(i = 40; i < 53 ; i = i + 1, j = j + 1)
  54.       syms[i] = x[j];
  55.    for(i = 54; i < 59 ; i = i + 1, j = j + 1)
  56.       syms[i] = x[j];
  57.    //pilot subcarriers
  58.    syms[11] = mapBPSK(False, ppv); // map 1 to -1, 0 to 1
  59.    syms[25] = mapBPSK(False, ppv); // map 1 to -1, 0 to 1
  60.    syms[39] = mapBPSK(False, ppv); // map 1 to -1, 0 to 1
  61.    syms[53] = mapBPSK(True,  ppv); // map 0 to -1, 1 to 1
  62.    
  63.    return syms;
  64. endfunction
  65. (* synthesize *)
  66. module mkWiFiPilotInsertTest(Empty);
  67.    
  68.    PilotInsert#(PilotInsertCtrl,48,64,2,14) pilotInsert;
  69.    pilotInsert <- mkPilotInsert(idFunc,
  70. pilotAdder,
  71. 7'b1001000,
  72. 7'b1111111);
  73.    Reg#(Bit#(32)) cycle <- mkReg(0);
  74.    
  75.    rule putIntput(True);
  76.       let iMesg = Mesg{ control: (cycle[2:0] == 0) ? 
  77.  PilotRst : 
  78.                  PilotNorm,
  79. data: replicate(cmplx(1,1))};
  80.       pilotInsert.in.put(iMesg);
  81. //      $display("Input: %h",iMesg.data);
  82.    endrule
  83.   
  84.    rule getOutput(True);
  85.       let oMesg <- pilotInsert.out.get;
  86.       $display("Output: %h",oMesg.data);
  87.    endrule
  88.    
  89.    rule tick(True);
  90.       cycle <= cycle + 1;
  91.       if (cycle == 100000)
  92.  $finish;
  93.       $display("Cycle: %d",cycle);
  94.    endrule
  95.    
  96. endmodule