ScramblerTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:2k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Controls::*;
  27. import DataTypes::*;
  28. import FPComplex::*;
  29. import GetPut::*;
  30. import Interfaces::*;
  31. import Vector::*;
  32. import Scrambler::*;
  33. function t idFunc(t in);
  34.    return in;
  35. endfunction
  36. (* synthesize *)
  37. module mkScramblerTest(Empty);
  38.    
  39.    // state elements
  40.    Scrambler#(ScramblerCtrl#(12,7),ScramblerCtrl#(12,7),12,12) scrambler;
  41.    scrambler <- mkScrambler(idFunc,idFunc,7'b1001000);
  42.    Reg#(Bit#(12)) data  <- mkReg(0);
  43.    Reg#(Bit#(32)) cycle <- mkReg(0);
  44.    
  45.    rule putInput(True);
  46.       let mesg = Mesg { control: ScramblerCtrl
  47.        {bypass: 0,
  48. seed: (data[4:0] == 0) ? tagged Valid 127 : Invalid},
  49.             data: data};
  50.       scrambler.in.put(mesg);
  51.       data <= data + 1;
  52.       $display("input: data: %b",data);
  53.    endrule
  54.    rule getOutput(True);
  55.       let mesg <- scrambler.out.get;
  56.       $display("output: data: %b",mesg.data);
  57.    endrule
  58.    
  59.    rule tick(True);
  60.       cycle <= cycle + 1;
  61.       if (cycle == 100000)
  62.  $finish;
  63.       $display("Cycle: %d",cycle);
  64.    endrule
  65.   
  66. endmodule