DepuncturerTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:4k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Controls::*;
  27. import DataTypes::*;
  28. import GetPut::*;
  29. import Interfaces::*;
  30. import Depuncturer::*;
  31. import Vector::*;
  32. // test
  33. function PuncturerCtrl mapCtrl(Bit#(3) rate);
  34.       return case (rate)
  35. 0: Half;
  36. 1: TwoThird;
  37. 2: FiveSixth;
  38. 3: TwoThird;
  39. 4: FiveSixth;
  40. 5: ThreeFourth;
  41. 6: FiveSixth;
  42. // 7: Same;
  43.      endcase; // case(rate)
  44. endfunction // Bit
  45.    
  46. function DepunctData#(4) p1 (DepunctData#(3) x);
  47.    DepunctData#(4) outVec = replicate(4);
  48.    outVec[0] = x[0];
  49.    outVec[2] = x[1];
  50.    outVec[3] = x[2];
  51.    return outVec;
  52. endfunction // Bit
  53.    
  54. function DepunctData#(6) p2 (DepunctData#(4) x);
  55.    DepunctData#(6) outVec = replicate(4);
  56.    outVec[0] = x[0];
  57.    outVec[1] = x[1];
  58.    outVec[3] = x[2];
  59.    outVec[4] = x[3];
  60.    return outVec;
  61. endfunction // Bit
  62.    
  63. function DepunctData#(10) p3 (DepunctData#(6) x);
  64.    DepunctData#(10) outVec = replicate(4);
  65.    outVec[0] = x[0];
  66.    outVec[1] = x[1];
  67.    outVec[3] = x[2];
  68.    outVec[4] = x[3];
  69.    outVec[7] = x[4];
  70.    outVec[8] = x[5];
  71.    return outVec;
  72. endfunction // Bit
  73.       
  74. (* synthesize *)
  75. module mkWiMaxDepuncturer (Depuncturer#(Bit#(3),8,8,24,24));
  76.    function DepunctData#(8) pp1(DepunctData#(6) x);
  77.       return parDepunctFunc(p1,x);
  78.    endfunction
  79.    
  80.    function DepunctData#(12) pp2(DepunctData#(8) x);
  81.       return parDepunctFunc(p2,x);
  82.    endfunction
  83.    
  84.    function DepunctData#(10) pp3(DepunctData#(6) x);
  85.       return parDepunctFunc(p3,x);
  86.    endfunction
  87.    
  88.    Depuncturer#(Bit#(3),8,8,24,24) depuncturer;
  89.    depuncturer <- mkDepuncturer(mapCtrl,pp1,pp2,pp3);
  90.    return depuncturer;
  91.    
  92. endmodule
  93. (* synthesize *)
  94. module mkDepuncturerTest (Empty);
  95.    Depuncturer#(Bit#(3),8,8,24,24) depuncturer <- mkWiMaxDepuncturer;
  96.    Reg#(Bit#(3)) rate <- mkReg(0);
  97.    Reg#(Bit#(3)) counter <- mkReg(0);
  98.    Reg#(DepunctData#(8)) inData <- mkReg(replicate(0));
  99.    Reg#(Bit#(32)) cycle <- mkReg(0);
  100.    
  101.    rule putNewRate(counter == 0);
  102.       let newRate = (rate == 6) ? 0 : rate + 1;
  103.       let newData = inData;
  104.       let newMesg = Mesg { control: newRate,
  105.    data: newData};
  106.       Bit#(3) newCounter = case (newRate)
  107.       0: 0;
  108.       1: 2;
  109.       2: 2;
  110.       3: 2;
  111.       4: 2;
  112.       5: 5;
  113.       6: 2;
  114.    endcase;
  115.       rate <= newRate;
  116.       inData <= newData;
  117.       counter <= newCounter;
  118.       depuncturer.in.put(newMesg);
  119.       $display("In Mesg: ctrl: %d,  data: %b, counter:%d",newMesg.control,newMesg.data,newCounter);
  120.    endrule
  121.    rule putNewData(counter > 0);
  122.       let newRate = rate;
  123.       let newData = inData;
  124.       let newMesg = Mesg { control: newRate,
  125.    data: newData};
  126.       inData <= newData;
  127.       counter <= counter - 1;
  128.       depuncturer.in.put(newMesg);
  129.       $display("In Mesg: ctrl: %d,  data: %b, counter:%d",newMesg.control,newMesg.data,counter - 1);
  130.    endrule
  131.    
  132.    rule getData(True);
  133.       let outMesg <- depuncturer.out.get;
  134.       $display("Out Mesg: ctrl: %d,  data: %b",outMesg.control,outMesg.data);
  135.    endrule
  136.    
  137.    rule tick(True);
  138.       cycle <= cycle + 1;
  139.       if (cycle == 10000)
  140.  $finish;
  141.       $display("Cycle: %d",cycle);
  142.    endrule
  143.    
  144. endmodule
  145.    
  146.