PuncturerTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:4k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Controls::*;
  27. import DataTypes::*;
  28. import GetPut::*;
  29. import Interfaces::*;
  30. import Puncturer::*;
  31. // test
  32. function PuncturerCtrl mapCtrl(Bit#(3) rate);
  33.       return case (rate)
  34. 0: Half;
  35. 1: TwoThird;
  36. 2: FiveSixth;
  37. 3: TwoThird;
  38. 4: FiveSixth;
  39. 5: ThreeFourth;
  40. 6: FiveSixth;
  41. // 7: Same;
  42.      endcase; // case(rate)
  43. endfunction // Bit
  44.    
  45. function Bit#(3) p1 (Bit#(4) x);
  46.       return {x[3:2],x[0]};
  47. endfunction // Bit
  48.    
  49. function Bit#(4) p2 (Bit#(6) x);
  50.       return {x[4:3],x[1:0]};
  51.    endfunction // Bit
  52.    
  53. function Bit#(6) p3 (Bit#(10) x);
  54.       return {x[8:7],{x[4:3],x[1:0]}};
  55. endfunction // Bit
  56.       
  57. module mkWiMaxPuncturer (Puncturer#(Bit#(3),8,8,24,24));
  58.    Bit#(2) f1_sz = 0;
  59.    Bit#(2) f2_sz = 0;
  60.    Bit#(1) f3_sz = 0;
  61.    
  62.    Puncturer#(Bit#(3),8,8,24,24) puncturer;
  63.    puncturer <- mkPuncturer(mapCtrl,
  64.     parFunc(f1_sz,p1),
  65.     parFunc(f2_sz,p2),
  66.     parFunc(f3_sz,p3));
  67.    return puncturer;
  68.    
  69. endmodule
  70.    
  71. (* synthesize *)
  72. module mkPuncturerTest (Empty);
  73.    Puncturer#(Bit#(3),8,8,24,24) puncturer <- mkWiMaxPuncturer;
  74.    Reg#(Bit#(3)) rate <- mkReg(0);
  75.    Reg#(Bit#(3)) counter <- mkReg(0);
  76.    Reg#(Bit#(8)) inData <- mkReg(0);
  77.    Reg#(Bit#(32)) cycle <- mkReg(0);
  78.    
  79.    rule putNewRate(counter == 0);
  80.       let newRate = (rate == 6) ? 0 : rate + 1;
  81.       let newData = inData + 1;
  82.       let newMesg = Mesg { control: newRate,
  83.    data: newData};
  84.       Bit#(3) newCounter = case (newRate)
  85.       0: 3;
  86.       1: 3;
  87.       2: 4;
  88.       3: 3;
  89.       4: 4;
  90.       5: 5;
  91.       6: 4;
  92.    endcase;
  93.       rate <= newRate;
  94.       inData <= newData;
  95.       counter <= newCounter;
  96.       puncturer.in.put(newMesg);
  97.       $display("In Mesg: ctrl: %d,  data: %b, counter:%d",newMesg.control,newMesg.data,newCounter);
  98.    endrule
  99.    rule putNewData(counter > 0);
  100.       let newRate = rate;
  101.       let newData = inData + 1;
  102.       let newMesg = Mesg { control: newRate,
  103.    data: newData};
  104.       inData <= newData;
  105.       counter <= counter - 1;
  106.       puncturer.in.put(newMesg);
  107.       $display("In Mesg: ctrl: %d,  data: %b, counter:%d",newMesg.control,newMesg.data,counter - 1);
  108.    endrule
  109.    
  110.    rule getData(True);
  111.       let outMesg <- puncturer.out.get;
  112.       $display("Out Mesg: ctrl: %d,  data: %b",outMesg.control,outMesg.data);
  113.    endrule
  114.    
  115.    rule tick(True);
  116.       cycle <= cycle + 1;
  117.       if (cycle == 10000)
  118.  $finish;
  119.       $display("Cycle: %d",cycle);
  120.    endrule
  121.    
  122. endmodule
  123.    
  124.    
  125.