CPInsertTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:3k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Controls::*;
  27. import CPInsert::*;
  28. import DataTypes::*;
  29. import FPComplex::*;
  30. import GetPut::*;
  31. import Interfaces::*;
  32. import WiMAXPreambles::*;
  33. import Vector::*;
  34. function t idFunc (t in);
  35.    return in;
  36. endfunction
  37. (* synthesize *)
  38. module mkCPInsertTest(Empty);
  39.    
  40.    // constants
  41.    Symbol#(256,1,15) inSymbol = newVector;
  42.    for(Integer i = 0; i < 256; i = i + 1)
  43.       inSymbol[i] = unpack(pack(fromInteger(i)));
  44.    
  45.    // state elements
  46.    CPInsert#(CPInsertCtrl,256,1,15) cpInsert; 
  47.    cpInsert <- mkCPInsert(idFunc,
  48.   getShortPreambles,
  49.   getLongPreambles);
  50.    Reg#(Bit#(4)) cpsz <- mkReg(1);
  51.    Reg#(Bit#(32)) cycle <- mkReg(0);
  52.    
  53.    rule putInput(True);
  54.       CPInsertCtrl ctrl = (cpsz == 1) ? 
  55.   tuple2(SendLong, unpack(cpsz)) :
  56.                           tuple2(SendNone, unpack(cpsz));
  57.       let mesg = Mesg { control:ctrl,
  58.             data: inSymbol};
  59.       cpInsert.in.put(mesg);
  60.       cpsz <= (cpsz == 8) ? 1: cpsz << 1;
  61.       $display("input: cpsize = %d",cpsz);
  62. //      joinActions(map(fpcmplxWrite(4),inSymbol));
  63.    endrule
  64.    
  65.    rule getOutput(True);
  66.       let mesg <- cpInsert.out.get;
  67.       $display("output: %d",mesg);
  68.    endrule
  69.    
  70.    rule tick(True);
  71.       cycle <= cycle + 1;
  72.       if (cycle == 100000)
  73.  $finish;
  74.       $display("Cycle: %d",cycle);
  75.    endrule
  76.   
  77. endmodule