ReedDecoder.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:3k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import DataTypes::*;
  27. import Interfaces::*;
  28. import Controls::*;
  29. import FIFO::*;
  30. import Vector::*;
  31. import GetPut::*;
  32. module mkReedDecoder#(function ReedSolomonCtrl#(8) mapCtrl(ctrl_t ctrl))
  33.    (ReedDecoder#(ctrl_t,sz,sz))
  34.     provisos(Mul#(num,8,sz),
  35.              Bits#(ctrl_t, ctrl_sz));
  36.     FIFO#(DecoderMesg#(ctrl_t,sz,Bit#(1)))  inQ <- mkLFIFO;
  37.     FIFO#(DecoderMesg#(ctrl_t,sz,Bit#(1))) outQ <- mkSizedFIFO(2);
  38.     Reg#(ctrl_t)                        control <- mkRegU;
  39.     Reg#(Bit#(8))  inCounter <- mkReg(0);
  40.     Reg#(Bit#(8)) outCounter <- mkReg(0);
  41.     rule outTime (outCounter != 0);
  42.         inQ.deq();
  43.         let newOutCounter = outCounter - fromInteger(valueOf(num));
  44.         outCounter <= newOutCounter;
  45.     endrule
  46.     rule normal (outCounter == 0);
  47.         let mesg = inQ.first();
  48.         inQ.deq();
  49.         control <= mesg.control;
  50.         let ctrl = mapCtrl(mesg.control);
  51.         if(ctrl.in == 12)
  52.             outQ.enq(mesg);
  53.         else
  54.         begin
  55.             let newInCounter  = inCounter == 0 ? ctrl.in - fromInteger(valueOf(num)) : inCounter - fromInteger(valueOf(num));
  56.             let newOutCounter = newInCounter == 0 ? ctrl.out : 0;
  57.             inCounter  <= newInCounter;
  58.             outCounter <= newOutCounter;
  59.             outQ.enq(mesg);
  60.         end
  61.     endrule
  62.     interface in  = fifoToPut(inQ);
  63.     interface out = fifoToGet(outQ);
  64. endmodule