ReedEncoderTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:4k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Controls::*;
  27. import DataTypes::*;
  28. import FPComplex::*;
  29. import GetPut::*;
  30. import Interfaces::*;
  31. import Vector::*;
  32. import ReedEncoder::*;
  33. typedef enum {R0, R1, R2, R3, R4, R5, R6} Rate deriving(Eq, Bits);
  34. typedef struct {
  35.     Bool newFrame;
  36.     Rate rate;
  37. } GlobalCtrl deriving(Eq, Bits);
  38. //Reed Solomon:
  39. function ReedSolomonCtrl#(8) reedSolomonControl(GlobalCtrl ctrl);
  40.     return case (ctrl.rate) matches
  41.                R0   : ReedSolomonCtrl{in:12, out:0};
  42.                R1   : ReedSolomonCtrl{in:24, out:8};
  43.                R2   : ReedSolomonCtrl{in:36, out:4};
  44.                R3   : ReedSolomonCtrl{in:48, out:16};
  45.                R4   : ReedSolomonCtrl{in:72, out:8};
  46.                R5   : ReedSolomonCtrl{in:96, out:12};
  47.                R6   : ReedSolomonCtrl{in:108, out:12};
  48.            endcase;
  49. endfunction
  50. function t idFunc (t in);
  51.    return in;
  52. endfunction
  53. function Rate nextRate(Rate rate);
  54.    let res = case (rate)
  55. R0: R1;
  56. R1: R2;
  57. R2: R3;
  58. R3: R4;
  59. R4: R5;
  60. R5: R6;
  61. R6: R0;
  62. default: R0;
  63.      endcase;
  64.    return res;
  65. endfunction
  66. (* synthesize *)
  67. module mkReedEncoderTest(Empty);
  68.    
  69.    // state elements
  70.    ReedEncoder#(GlobalCtrl,32,32) reedEncoder;
  71.    reedEncoder <- mkReedEncoder(reedSolomonControl);
  72.    Reg#(GlobalCtrl)  ctrl <- mkRegU;
  73.    Reg#(Bit#(32))    data <- mkRegU;
  74.    Reg#(Bit#(32))    cntr <- mkReg(0);
  75.    Reg#(Bit#(32))   cycle <- mkReg(0);
  76.    
  77.    rule putNewCtrl(cntr==0);
  78.       let newCtrl = GlobalCtrl{newFrame: False, 
  79.        rate: nextRate(ctrl.rate)};
  80.       let newCntr = case (newCtrl.rate)
  81.        R0: 11;
  82.        R1: 23;
  83.        R2: 35;
  84.        R3: 47;
  85.        R4: 71;
  86.        R5: 95;
  87.        R6: 107;
  88.     endcase;
  89.       let mesg = Mesg {control: newCtrl,
  90.            data: data};
  91.       reedEncoder.in.put(mesg);
  92.       ctrl <= newCtrl;
  93.       cntr <= newCntr;
  94.       data <= data + 2;
  95.       $display("input: ctrl = %d, data:%h",newCtrl,data);
  96.    endrule
  97.    
  98.    rule putInput(cntr > 0);
  99.       let mesg = Mesg { control: ctrl,
  100.             data: data};
  101.       reedEncoder.in.put(mesg);
  102.       cntr <= cntr - 1;
  103.       data <= data + 1;
  104.       $display("input: ctrl = %d, data:%h",ctrl,data);
  105.    endrule
  106.    rule getOutput(True);
  107.       let mesg <- reedEncoder.out.get;
  108.       $display("output: ctrl = %d, data: %h",mesg.control,mesg.data);
  109.    endrule
  110.    
  111.    rule tick(True);
  112.       cycle <= cycle + 1;
  113.       if (cycle == 100000)
  114.  $finish;
  115.       $display("Cycle: %d",cycle);
  116.    endrule
  117.   
  118. endmodule