SynchronizerTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:3k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import DataTypes::*;
  27. import Interfaces::*;
  28. import Synchronizer::*;
  29. import FixedPoint::*;
  30. import Complex::*;
  31. import Preambles::*;
  32. import SynchronizerLibrary::*;
  33. import Vector::*;
  34. import RegFile::*;
  35. import FPComplex::*;
  36. import GetPut::*;
  37. import Controls::*;
  38. (* synthesize *)
  39. module mkSynchronizerTest(Empty);
  40.    // states
  41.    Synchronizer#(2,14) synchronizer <- mkSynchronizer();
  42.    
  43.    Reg#(Bit#(10)) inCounter <- mkReg(0);
  44.    Reg#(Bit#(10)) outCounter <- mkReg(0);
  45.    
  46.    // constant
  47.    RegFile#(Bit#(10),FPComplex#(2,14)) packet <- mkPacket();
  48.    RegFile#(Bit#(10), FPComplex#(2,14)) tweakedPacket <- mkTweakedPacket();
  49.    Reg#(Bit#(32)) cycle <- mkReg(0);
  50.    rule toSynchronizer(True);
  51.    begin
  52.       FPComplex#(2,14) inCmplx = tweakedPacket.sub(inCounter);
  53.       inCounter <= inCounter + 1;
  54.       synchronizer.in.put(inCmplx);
  55.       $write("Execute toSync at %d:",inCounter);
  56.       cmplxWrite("("," + "," i)",fxptWrite(7),inCmplx);
  57.       $display("");
  58.    end
  59.    endrule
  60.    rule fromSynchronizerToUnserializer(True);
  61.    begin
  62.       let result <- synchronizer.out.get;
  63.       let resultCmplx = result.data;
  64.       outCounter <= outCounter + 1;
  65.       $write("Execute fromSyncToUnserializer at %d:", outCounter);
  66.       $write("new message: %d, ", result.control.isNewPacket);
  67.       cmplxWrite("("," + ","i)",fxptWrite(7),resultCmplx);
  68.       $display("");
  69.       $write("Expected Output at %d:", outCounter);
  70.       cmplxWrite("("," + ","i)",fxptWrite(7),packet.sub(outCounter));
  71.       $display("");
  72.    end
  73.    endrule
  74.    
  75.    // tick
  76.    rule tick(True);
  77.       cycle <= cycle + 1;
  78.       if (cycle == 100000)
  79.  $finish();
  80.       $display("cycle: %d",cycle);
  81.    endrule
  82.      
  83. endmodule