DeinterleaverTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:5k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Controls::*;
  27. import DataTypes::*;
  28. import FPComplex::*;
  29. import GetPut::*;
  30. import Interfaces::*;
  31. import Vector::*;
  32. import Interleaver::*;
  33. import Mapper::*;
  34. import Demapper::*;
  35. function t idFunc (t in);
  36.    return in;
  37. endfunction
  38. function Integer interleaverGetIndex(Modulation m, Integer k);
  39.     Integer s = 1;  
  40.     Integer ncbps = 192;
  41.     case (m)
  42.       BPSK:  
  43. begin
  44.    ncbps = 192;
  45.    s = 1;
  46. end
  47.       QPSK:  
  48. begin
  49.    ncbps = 384;
  50.    s = 1;
  51. end
  52.       QAM_16:
  53. begin
  54.    ncbps = 768;
  55.    s = 2;
  56. end
  57.       QAM_64:
  58. begin
  59.    ncbps = 1152;
  60.    s = 3;
  61. end
  62.     endcase // case(m)
  63.     Integer i = (ncbps/12) * (k%12) + k/12;
  64.     Integer f = (i/s);
  65.     Integer j = s*f + (i + ncbps - (12*i/ncbps))%s;
  66.     return (k >= ncbps) ? k : j;
  67. endfunction   
  68. function Integer deinterleaverGetIndex(Modulation m, Integer j);
  69.    Integer s = 1;  
  70.    Integer ncbps = 192;
  71.    case (m)
  72.       BPSK:  
  73.       begin
  74.  ncbps = 192;
  75.  s = 1;
  76.       end
  77.       QPSK:  
  78.       begin
  79.  ncbps = 384;
  80.  s = 1;
  81.       end
  82.       QAM_16:
  83.       begin
  84.  ncbps = 768;
  85.  s = 2;
  86.       end
  87.       QAM_64:
  88.       begin
  89.  ncbps = 1152;
  90.  s = 3;
  91.       end
  92.    endcase // case(m)
  93.    Integer f = (j/s);
  94.    Integer i = s*f + (j + (12*j/ncbps))%s;
  95.    Integer k = 12*i-(ncbps-1)*(12*i/ncbps);
  96.    return (j >= ncbps) ? j : k;
  97. endfunction   
  98. (* synthesize *)
  99. module mkInterleaverInstance(Interleaver#(Modulation,24,24,192));
  100.    Interleaver#(Modulation,24,24,192) interleaver;
  101.    interleaver <- mkInterleaver(idFunc,interleaverGetIndex);
  102.    return interleaver;
  103. endmodule
  104. (* synthesize *)
  105. module mkDeinterleaverInstance(Deinterleaver#(Modulation,24,24,ViterbiMetric,192));
  106.    Deinterleaver#(Modulation,24,24,ViterbiMetric,192) deinterleaver;
  107.    deinterleaver <- mkDeinterleaver(idFunc,deinterleaverGetIndex);
  108.    return deinterleaver;
  109. endmodule
  110. (* synthesize *)
  111. module mkDeinterleaverTest(Empty);
  112.    
  113.    // state elements
  114.    Interleaver#(Modulation,24,24,192) interleaver;
  115.    interleaver <- mkInterleaverInstance;
  116.    Deinterleaver#(Modulation,24,24,ViterbiMetric,192) deinterleaver;
  117.    deinterleaver <- mkDeinterleaverInstance;
  118.    Mapper#(Modulation,24,48,2,14) mapper <- mkMapper(idFunc, True);
  119.    Demapper#(Modulation,48,24,2,14,ViterbiMetric) demapper;
  120.    demapper <- mkDemapper(idFunc, True);
  121.    Reg#(Bit#(4))  ctrl  <- mkReg(1);
  122.    Reg#(Bit#(24)) data  <- mkReg(0);
  123.    Reg#(Bit#(8))  cntr  <- mkReg(0);
  124.    Reg#(Bit#(32)) cycle <- mkReg(0);
  125.    
  126.    rule putInterleaverNewCtrl(cntr==0);
  127.       let newCtrl = (ctrl == 8) ? 1 : ctrl << 1;
  128.       let newCntr = case (unpack(newCtrl))
  129.        BPSK:   7;
  130.        QPSK:   15;
  131.        QAM_16: 31;
  132.        QAM_64: 47;
  133.     endcase;
  134.       let mesg = Mesg { control: unpack(newCtrl),
  135.             data: data};
  136.       interleaver.in.put(mesg);
  137.       ctrl <= newCtrl;
  138.       cntr <= newCntr;
  139.       data <= data + 1;
  140.       $display("Interleaver input: ctrl = %d, data:%b",newCtrl,data);
  141.    endrule
  142.    
  143.    rule putInterleaverInput(cntr > 0);
  144.       let mesg = Mesg { control: unpack(ctrl),
  145.             data: data};
  146.       interleaver.in.put(mesg);
  147.       cntr <= cntr - 1;
  148.       data <= data + 1;
  149.       $display("Interleaver input: ctrl = %d, data:%b",ctrl,data);
  150.    endrule
  151.    rule getInterleaverOutput(True);
  152.       let mesg <- interleaver.out.get;
  153.       mapper.in.put(mesg);
  154.       $display("Interleaver output: ctrl = %d, data: %b",mesg.control,mesg.data);
  155.    endrule
  156.    
  157.    rule getMapperOutput(True);
  158.       let mesg <- mapper.out.get;
  159.       demapper.in.put(mesg);
  160.       $display("Mapper output: ctrl = %d, data: %h",mesg.control,mesg.data);
  161.    endrule
  162.    
  163.    rule getDemapperOutput(True);
  164.       let mesg <- demapper.out.get;
  165.       deinterleaver.in.put(mesg);
  166.       $display("Demapper output: ctrl = %d, data: %b",mesg.control,mesg.data);
  167.    endrule
  168.    
  169.    rule getDeinterleaverOutput(True);
  170.       let mesg <- deinterleaver.out.get;
  171.       $display("Deinterleaver output: ctrl = %d, data: %b",mesg.control,mesg.data);
  172.    endrule
  173.    
  174.    rule tick(True);
  175.       cycle <= cycle + 1;
  176.       if (cycle == 100000)
  177.  $finish;
  178.       $display("Cycle: %d",cycle);
  179.    endrule
  180.   
  181. endmodule