SystemTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:4k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import DataTypes::*;
  27. import Interfaces::*;
  28. import RegFile::*;
  29. import Transmitter::*;
  30. import Complex::*;
  31. import FixedPoint::*;
  32. import Channel::*;
  33. import RandomGen::*;
  34. import Receiver::*;
  35. import RegFile::*;
  36. import RX_MAC::*;
  37. import TX_Controller::*;
  38. (* synthesize *)
  39. module mkSystemTest(Empty);
  40.    RandomGen#(64) randGen <- mkMersenneTwister(64'hB573AE980FF1134C);
  41.    Reg#(Bit#(13))  length <- mkReg(0);   
  42.    Reg#(Bit#(12)) counter <- mkReg(0);
  43.    RegFile#(Bit#(12),Bit#(8)) regFile <- mkRegFileFull;
  44.    Reg#(Bit#(16)) packetNo <- mkReg(0);
  45.    
  46.    Transmitter#(8,81) transmitter <- mkTransmitter_8_81();
  47.    Channel#(81,1,15)      channel <- mkChannel_81_1_15();
  48.    Receiver              receiver <- mkReceiver();
  49.    RX_MAC                  rx_mac <- mkRX_MAC(regFile);
  50.    rule enqHeader(length == 0);
  51.    begin
  52.       let randData <- randGen.genRand;
  53.       let randRate = R4; // just test the top rate
  54. //      let randRate = (randData[1:0] == 0) ? R1 : unpack(randData[1:0]);
  55. //      let randLength = (randData[13:2] == 0) ? 1 : randData[13:2];
  56.       Bit#(12) randLength = 1000;
  57.       let header = TXMAC2ControllerInfo{rate: randRate, length: randLength};
  58.       transmitter.getFromMAC(header);
  59.       counter <= 0;
  60.       packetNo <= packetNo + 1;
  61.       length <= zeroExtend(randLength);
  62.       $display("Going to send a  packet %d at rate:%d, length:%d",packetNo,randRate, randLength);
  63.       if (packetNo == 101)
  64. $finish;
  65.    end
  66.    endrule
  67.      
  68.    rule enqData(True);
  69.    begin
  70.       let randData <- randGen.genRand; 
  71.       let newData = Data{data: unpack(randData[7:0])};
  72.       transmitter.getDataFromMAC(newData);
  73.       counter <= counter + 1;
  74.       length <= length - 1;
  75.       regFile.upd(counter,randData[7:0]);
  76. //      $display("data at position %d is 0x%h",counter,newData);
  77.    end
  78.    endrule
  79.    rule dataToSend(True);
  80.    begin
  81.       let result <- transmitter.toAnalogTX();
  82.       let resultVec = result.data;
  83.       channel.fromTransmitter(result);
  84.    end
  85.    endrule
  86.    rule receiverGetData(True);
  87.    begin
  88.       let result <- channel.toReceiver();
  89.       receiver.fromAnalogRX(result);
  90.    end
  91.    endrule
  92.    rule receiverLengthToRX_MAC(True);
  93.    begin
  94.       let result <- receiver.lengthToRX_MAC();
  95.       rx_mac.fromRX_Controller(result);
  96.    end
  97.    endrule
  98.    rule receiverDataToRX_MAC(True);
  99.    begin
  100.       let result <- receiver.dataToRX_MAC();
  101.       rx_mac.fromDescrambler(result);
  102. //      length <= (length > 2) ? length - 3 : 0;
  103.    end
  104.    endrule
  105.       
  106. endmodule