DemapperTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:3k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Complex::*;
  27. import Controls::*;
  28. import DataTypes::*;
  29. import FixedPoint::*;
  30. import FPComplex::*;
  31. import GetPut::*;
  32. import Interfaces::*;
  33. import Vector::*;
  34. import Demapper::*;
  35. import Mapper::*;
  36. function t idFunc (t in);
  37.    return in;
  38. endfunction
  39. (* synthesize *)
  40. module mkDemapperTest(Empty);
  41.    
  42.    // state elements
  43.    Mapper#(Modulation,12,48,2,14) mapper <- mkMapper(idFunc, False);
  44.    Demapper#(Modulation,48,12,2,14,Bit#(3)) demapper;
  45.    demapper <- mkDemapper(idFunc, False);
  46.    Reg#(Bit#(4))  ctrl  <- mkReg(1);
  47.    Reg#(Bit#(12)) data  <- mkReg(0);
  48.    Reg#(Bit#(8))  cntr  <- mkReg(0);
  49.    Reg#(Bit#(32)) cycle <- mkReg(0);
  50.    
  51.    rule putMapperNewCtrl(cntr==0);
  52.       let newCtrl = (ctrl == 8) ? 1 : ctrl << 1;
  53.       let newCntr = case (unpack(newCtrl))
  54.        BPSK:   3;    
  55.        QPSK:   7;
  56.        QAM_16: 15;
  57.        QAM_64: 23;
  58.     endcase;
  59.       let mesg = Mesg { control: unpack(newCtrl),
  60.             data: data};
  61.       mapper.in.put(mesg);
  62.       ctrl <= newCtrl;
  63.       cntr <= newCntr;
  64.       data <= data + 1;
  65.       $display("Mapper input: ctrl = %d, data:%b",newCtrl,data);
  66.    endrule
  67.    
  68.    rule putMapperInput(cntr > 0);
  69.       let mesg = Mesg { control: unpack(ctrl),
  70.             data: data};
  71.       mapper.in.put(mesg);
  72.       cntr <= cntr - 1;
  73.       data <= data + 1;
  74.       $display("Mapper input: ctrl = %d, data:%b",ctrl,data);
  75.    endrule
  76.    rule getMapperOutput(True);
  77.       let mesg <- mapper.out.get;
  78.       demapper.in.put(mesg);
  79.       $display("Mapper output: ctrl = %d, data: %h",mesg.control,mesg.data);
  80.    endrule
  81.    
  82.    rule getDemapperOutput(True);
  83.       let mesg <- demapper.out.get;
  84.       $display("Demapper output: ctrl = %d, data: %b",mesg.control,mesg.data);
  85.    endrule
  86.    
  87.    rule tick(True);
  88.       cycle <= cycle + 1;
  89.       if (cycle == 100000)
  90.  $finish;
  91.       $display("Cycle: %d",cycle);
  92.    endrule
  93.   
  94. endmodule