EHRReg.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:3k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. //////////////////////////////////////////////////////////
  27. // Interface: EHRReg#(sz, data_t)
  28. // Description: create a EHRReg of data_t with sz read 
  29. //              and write ports, the scheduling is
  30. //              read0 < write0 < read1 < write1 < ....
  31. //
  32. // Module: mkEHRReg(data_t init)
  33. // Description: create the EHRReg with init as initial value              
  34. /////////////////////////////////////////////////////////
  35. import RWire::*;
  36. import Vector::*;
  37. interface VRead#(type a);
  38.    method a read();
  39. endinterface
  40. interface EHR#(type a);
  41.    interface VRead#(a) vRead; 
  42.    interface Reg#(a)   vReg;
  43. endinterface
  44.   
  45. typedef Vector#(sz, Reg#(a)) EHRReg#(numeric type sz, type a);
  46. module mkVRead#(Reg#(a) first)
  47.   (VRead#(a)) provisos (Bits#(a,asz));
  48.    method a read();
  49.      return first;
  50.    endmethod
  51.    
  52. endmodule // mkVRead
  53. module mkEHR#(VRead#(a) last) 
  54.   (EHR#(a)) provisos (Bits#(a,asz));
  55.    RWire#(a) rwire <- mkRWire;
  56.    interface VRead vRead;
  57.       method a read();
  58.          let res = (isValid(rwire.wget)) ? 
  59.    fromMaybe(?,rwire.wget) :
  60.    last.read;
  61.          return res;
  62.       endmethod
  63.    endinterface 
  64.      
  65.    interface Reg vReg;
  66.       method Action _write(a x);
  67.          rwire.wset(x);
  68.       endmethod
  69.       method a _read();
  70.          return last.read;
  71.       endmethod
  72.    endinterface 
  73. endmodule
  74. module mkEHRReg#(a init) (EHRReg#(sz,a)) provisos (Bits#(a,asz));
  75.    Reg#(a)             dataReg <- mkReg(init);
  76.    VRead#(a)          fstVRead <- mkVRead(dataReg);
  77.    Vector#(sz, EHR#(a)) ehrs = newVector;
  78.    EHRReg#(sz, a)     ehrReg = newVector;
  79.    ehrs[0]  <- mkEHR(fstVRead);
  80.    ehrReg[0] = ehrs[0].vReg;
  81.    for(Integer i = 1; i < valueOf(sz); i = i + 1)
  82.    begin
  83.       ehrs[i]  <- mkEHR(ehrs[i-1].vRead);
  84.       ehrReg[i] = ehrs[i].vReg;
  85.    end
  86.    rule updateReg(True);
  87.       dataReg <= ehrs[valueOf(sz)-1].vRead.read;
  88.    endrule
  89.    
  90.    return ehrReg;
  91. endmodule // mkEHRReg