ChannelEstimator.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:2k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import DataTypes::*;
  27. import Interfaces::*;
  28. import Controls::*;
  29. import FIFO::*;
  30. import GetPut::*;
  31. module mkChannelEstimator#(function Symbol#(out_n,i_prec,f_prec) pilotRemover(Symbol#(in_n,i_prec, f_prec) in))
  32.    (ChannelEstimator#(ctrl_t,in_n,out_n,i_prec,f_prec))
  33.     provisos (Bits#(ctrl_t, ctrl_sz));
  34.     FIFO#(ChannelEstimatorMesg#(ctrl_t,in_n,i_prec,f_prec)) inQ <- mkLFIFO;
  35.     FIFO#(DemapperMesg#(ctrl_t,out_n,i_prec,f_prec))       outQ <- mkSizedFIFO(2);
  36.     rule process(True);
  37.         inQ.deq();
  38.         let mesg = inQ.first();
  39.         let processedData = pilotRemover(mesg.data);
  40.         outQ.enq(Mesg{control: mesg.control, data: processedData});
  41.     endrule
  42.     interface in  = fifoToPut(inQ);
  43.     interface out = fifoToGet(outQ);
  44. endmodule