BitStreamFIFOTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:3k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. /////////////////////////////////////////////////////////////
  27. // A simple testbench of BitStreamFIFO
  28. /////////////////////////////////////////////////////////////
  29. import BitStreamFIFO::*;
  30. `define BufSz    8                      // fifo buffer size
  31. `define BufBSz   TLog#(TAdd#(`BufSz,1)) // Bit#(BufBSz) ranges 0-BufSz
  32. `define IOSz     8                      // max input size
  33. `define IOBSz    TLog#(TAdd#(`IOSz,1))  // Bit#(IOBSz) ranges 0-IOSz
  34. `define IODataSz 1                      // data element width
  35. `define IOVecSz  TMul#(`IOSz,`IODataSz) // total no. bits to represent the input vector
  36. (* synthesize *)
  37. module mkBitStreamFIFOInstance(BitStreamFIFO#(`BufSz,`BufBSz,`IOSz,`IOBSz,`IODataSz));
  38.    let fifo <- mkUGBitStreamLFIFO;
  39.    return fifo;
  40. endmodule
  41. (* synthesize *)
  42. module mkBitStreamFIFOTest(Empty);
  43.    // state elements
  44.    let                  fifo     <- mkBitStreamFIFOInstance;
  45.    Reg#(Bit#(`IOVecSz)) enqData  <- mkRegU;
  46.    Reg#(Bit#(`IOBSz))   enqSz    <- mkReg(1);
  47.    Reg#(Bit#(`IOBSz))   deqSz    <- mkReg(`IOSz);
  48.    Reg#(Bit#(32))       clockCnt <- mkReg(0);
  49.    Bit#(`BufBSz)        freeNo = fifo.free();
  50.    Bit#(`BufBSz)        useNo  = fifo.usage();
  51.    
  52.    // rules
  53.    rule enqFifo(zeroExtend(enqSz) <= freeNo);
  54.       enqData <= enqData + 1;
  55.       enqSz <= (enqSz != `IOSz) ? enqSz + 1 : 1;
  56.       fifo.enq(enqSz,unpack(enqData));
  57.       $display("At clock %d, enq %d bits with data %x",clockCnt,enqSz,enqData);
  58.    endrule
  59.    rule deqFifo(zeroExtend(deqSz) <= useNo);
  60.       let deqData = fifo.first;
  61.       fifo.deq(deqSz);
  62.       deqSz <= (deqSz != `IOSz) ? deqSz + 1 : 1;
  63.       $display("At clock %d, deq %d bits with data %x",clockCnt,deqSz,deqData);
  64.    endrule
  65.    rule advClock(True);
  66.       clockCnt <= clockCnt + 1;
  67.    endrule
  68.    
  69.    rule finish(clockCnt == 3000);
  70.       $finish;
  71.    endrule
  72. endmodule