BRAMFIFOTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:2k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import BRAMFIFO::*;
  27. import FIFO::*;
  28. (* synthesize *)
  29. module mkBRAMFIFOTest (Empty);
  30.    
  31.    // constants
  32.    Bit#(1) lo_index = 0;
  33.    Bit#(1) hi_index = 1;
  34.    
  35.    // state elements
  36.    Reg#(Bit#(32)) cycle <- mkReg(0);
  37.    FIFO#(Bit#(32)) fifo <- mkBRAMFIFO(lo_index,hi_index);
  38.    
  39.    // rules
  40.    rule enqFIFO (True);
  41.       fifo.enq(cycle);
  42.       $display("Enq data: %d at cycle %d", cycle, cycle);
  43.    endrule
  44.    
  45.    rule readFIFO (True);
  46.       $display("First data: %d at cycle %d", fifo.first, cycle);
  47.    endrule
  48.    
  49.    rule deqFIFO (cycle[1:0] == 0);
  50.       fifo.deq();
  51.       $display("Deq data: %d at cycle %d", fifo.first, cycle);
  52.    endrule
  53.    
  54.    rule tick (True);
  55.       cycle <= cycle + 1;
  56.       if (cycle == 100000)
  57.  $finish;
  58.    endrule   
  59. endmodule