VRegFile.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:3k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Vector::*;
  27. import Monad::*;
  28. interface VRegFile#(numeric type sub_idx_sz,   // sub index
  29.                     numeric type out_sz,       // index to the output vector
  30.     type value_T);             // storage type
  31.   method Vector#(out_sz, value_T) sub(Bit#(1) cidx,
  32.       Bit#(sub_idx_sz) sidx);
  33.   method Action upd(Bit#(1) cidx, 
  34.                     Bit#(sub_idx_sz) sidx, 
  35.                     Vector#(out_sz, value_T) v);
  36. endinterface
  37.   
  38. // for correct usage, please make sure that 
  39. // foward step + con_in_sz < log(out_sz)
  40. module mkVRegFile#(function Vector#(out_sz, value_T) readSelect (Bit#(sub_idx_sz) sidx, 
  41.  Vector#(row_sz, value_T) inVec),
  42.    function Vector#(row_sz, value_T) writeSelect (Bit#(sub_idx_sz) sidx, 
  43.   Vector#(row_sz, value_T) inVec1,
  44.   Vector#(out_sz, value_T) inVec2),
  45.    value_T initVal)
  46.   (VRegFile#(sub_idx_sz,
  47.      out_sz,
  48.      value_T))
  49.   provisos (Bits#(value_T, value_sz),
  50.     Add#(sub_idx_sz,out_idx_sz,row_idx_sz),
  51.     Log#(out_sz,out_idx_sz),
  52.     Add#(0,row_sz,TExp#(row_idx_sz)),
  53.     Add#(1, xxA, TLog#(TExp#(row_idx_sz))));            
  54.       // states
  55.       Reg#(Vector#(2, Vector#(row_sz, value_T))) rf <- mkReg(replicate(replicate(initVal)));          
  56.       method Vector#(out_sz, value_T) sub(Bit#(1) cidx, 
  57.   Bit#(sub_idx_sz) sidx);
  58.  return readSelect(sidx, rf[cidx]);   
  59.       endmethod
  60.       method Action upd(Bit#(1) cidx, 
  61. Bit#(sub_idx_sz) sidx, 
  62. Vector#(out_sz, value_T) v);
  63.  Vector#(2, Vector#(row_sz, value_T)) newRF = rf;
  64.  newRF[cidx] = writeSelect(sidx, rf[cidx], v);
  65.  rf <= newRF;
  66.       endmethod
  67.   
  68. endmodule