ViterbiTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:8k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Controls::*;
  27. import DataTypes::*;
  28. import GetPut::*;
  29. import Interfaces::*;
  30. import Depuncturer::*;
  31. import Vector::*;
  32. import Mapper::*;
  33. import Demapper::*;
  34. import Puncturer::*;
  35. import Viterbi::*;
  36. import ConvEncoder::*;
  37. // testing wifi setting
  38. // Global Parameters:
  39. typedef enum {
  40.    R0,  // BPSK 1/2
  41.    R1,  // BPSK 3/4
  42.    R2,  // QPSK 1/2
  43.    R3,  // QPSK 3/4
  44.    R4,  // 16-QAM 1/2
  45.    R5,  // 16-QAM 3/4
  46.    R6,  // 64-QAM 2/3
  47.    R7   // 64-QAM 3/4
  48. } Rate deriving(Eq, Bits);
  49. // may be an extra field for DL: sendPremable
  50. typedef struct {
  51.    Bool       firstSymbol; 
  52.    Rate       rate;
  53. } TXGlobalCtrl deriving(Eq, Bits);
  54. function TXGlobalCtrl nextCtrl(TXGlobalCtrl ctrl);
  55.    Rate newRate = case (ctrl.rate)
  56.      R0: R1;
  57.      R1: R2;
  58.      R2: R3;
  59.      R3: R4;
  60.      R4: R5;
  61.      R5: R6;
  62.      R6: R7;
  63.      R7: R0;
  64.   endcase; // case(rate)
  65.    return TXGlobalCtrl{ firstSymbol: False, rate: newRate};
  66. endfunction
  67. function Bit#(16) getNewCounter(TXGlobalCtrl ctrl);
  68.    return case (ctrl.rate)
  69.      R0: 1;  // (24/12)-1
  70.      R1: 2;  // (36/12)-1
  71.      R2: 3;  // (48/12)-1
  72.      R3: 5;  // (72/12)-1
  73.      R4: 7;  // (96/12)-1
  74.      R5: 11; // (144/12)-1
  75.      R6: 15; // (192/12)-1
  76.      R7: 17; // (216/12)-1
  77.   endcase;
  78. endfunction
  79. function PuncturerCtrl puncturerMapCtrl(TXGlobalCtrl ctrl);
  80.    return case (ctrl.rate)
  81.      R0: Half;
  82.      R1: ThreeFourth;
  83.      R2: Half;
  84.      R3: ThreeFourth;
  85.      R4: Half;
  86.      R5: ThreeFourth;
  87.      R6: TwoThird;
  88.      R7: ThreeFourth;
  89.   endcase; // case(rate)
  90. endfunction // Bit  
  91.    
  92. function Bit#(3) p1 (Bit#(4) x);
  93.    return x[2:0];
  94. endfunction // Bit
  95.    
  96. function Bit#(4) p2 (Bit#(6) x);
  97.    return {x[5],x[2:0]};
  98. endfunction // Bit
  99. // not used in WiFi   
  100. function Bit#(6) p3 (Bit#(10) x);
  101.    return 0;
  102. endfunction // Bit
  103. function DepunctData#(4) dp1 (DepunctData#(3) x);
  104.    DepunctData#(4) outVec = replicate(4);
  105.    outVec[0] = x[0];
  106.    outVec[1] = x[1];
  107.    outVec[2] = x[2];
  108.    return outVec;
  109. endfunction // Bit
  110.    
  111. function DepunctData#(6) dp2 (DepunctData#(4) x);
  112.    DepunctData#(6) outVec = replicate(4);
  113.    outVec[0] = x[0];
  114.    outVec[1] = x[1];
  115.    outVec[2] = x[2];
  116.    outVec[5] = x[3];
  117.    return outVec;
  118. endfunction // Bit
  119. // not used in wifi   
  120. function DepunctData#(10) dp3 (DepunctData#(6) x);
  121.    DepunctData#(10) outVec = replicate(4);
  122.    return outVec;
  123. endfunction // Bit
  124. // used for both interleaver, mapper
  125. function Modulation modulationMapCtrl(TXGlobalCtrl ctrl);
  126.    return case (ctrl.rate)
  127.      R0: BPSK;
  128.      R1: BPSK;
  129.      R2: QPSK;
  130.      R3: QPSK;
  131.      R4: QAM_16;
  132.      R5: QAM_16;
  133.      R6: QAM_64;
  134.      R7: QAM_64;
  135.           endcase;
  136. endfunction
  137. (* synthesize *)
  138. module mkConvEncoderInstance(ConvEncoder#(TXGlobalCtrl,12,24));
  139.    ConvEncoder#(TXGlobalCtrl,12,24) convEncoder;
  140.    convEncoder <- mkConvEncoder(7'b1011011,7'b1111001);
  141.    return convEncoder;
  142. endmodule
  143. (* synthesize *)
  144. module mkPuncturerInstance (Puncturer#(TXGlobalCtrl,24,24,48,48));
  145.    Bit#(6) f1_sz = 0;
  146.    Bit#(4) f2_sz = 0;
  147.    Bit#(2) f3_sz = 0;
  148.    
  149.    Puncturer#(TXGlobalCtrl,24,24,48,48) puncturer;
  150.    puncturer <- mkPuncturer(puncturerMapCtrl,
  151.     parFunc(f1_sz,p1),
  152.     parFunc(f2_sz,p2),
  153.     parFunc(f3_sz,p3));
  154.    return puncturer;
  155. endmodule
  156. (* synthesize *)
  157. module mkDepuncturerInstance (Depuncturer#(TXGlobalCtrl,24,24,48,48));
  158.    function DepunctData#(24) dpp1(DepunctData#(18) x);
  159.       return parDepunctFunc(dp1,x);
  160.    endfunction
  161.    
  162.    function DepunctData#(24) dpp2(DepunctData#(16) x);
  163.       return parDepunctFunc(dp2,x);
  164.    endfunction
  165.    
  166.    function DepunctData#(20) dpp3(DepunctData#(12) x);
  167.       return parDepunctFunc(dp3,x);
  168.    endfunction
  169.    
  170.    Depuncturer#(TXGlobalCtrl,24,24,48,48) depuncturer;
  171.    depuncturer <- mkDepuncturer(puncturerMapCtrl,dpp1,dpp2,dpp3);
  172.    return depuncturer;
  173. endmodule
  174. (* synthesize *)
  175. module mkMapperInstance (Mapper#(TXGlobalCtrl,24,48,2,14));
  176.    Mapper#(TXGlobalCtrl,24,48,2,14) mapper;
  177.    mapper <- mkMapper(modulationMapCtrl,True);
  178.    return mapper;
  179. endmodule
  180. (* synthesize *)
  181. module mkDemapperInstance (Demapper#(TXGlobalCtrl,48,24,2,14,ViterbiMetric));
  182.    Demapper#(TXGlobalCtrl,48,24,2,14,ViterbiMetric) demapper;
  183.    demapper <- mkDemapper(modulationMapCtrl,True);
  184.    return demapper;
  185. endmodule
  186. (* synthesize *)
  187. module mkViterbiInstance(Viterbi#(TXGlobalCtrl,24,12));
  188.    Viterbi#(TXGlobalCtrl,24,12) viterbi;
  189.    viterbi <- mkViterbi;
  190.    return viterbi;
  191. endmodule
  192. (* synthesize *)
  193. module mkViterbiTest (Empty);
  194.    // state elements
  195.    let convEncoder <- mkConvEncoderInstance;
  196.    let puncturer <- mkPuncturerInstance;
  197.    let mapper <- mkMapperInstance;
  198.    let demapper <- mkDemapperInstance;
  199.    let depuncturer <- mkDepuncturerInstance;
  200.    let viterbi <- mkViterbiInstance;
  201.    Reg#(TXGlobalCtrl) ctrl <- mkReg(TXGlobalCtrl{firstSymbol:False,
  202.  rate:R0});
  203.    Reg#(Bit#(16)) counter <- mkReg(0);
  204.    Reg#(Bit#(12))  inData <- mkReg(0);
  205.    Reg#(Bit#(32)) cycle <- mkReg(0);
  206.    
  207.    rule putNewRate(counter == 0);
  208.       let newCtrl = nextCtrl(ctrl);
  209.       let newData = inData + 1;
  210.       let newMesg = Mesg {control: newCtrl,
  211.   data: newData};
  212.       let newCounter = getNewCounter(newCtrl);
  213.       ctrl <= newCtrl;
  214.       inData <= newData;
  215.       counter <= newCounter;
  216.       convEncoder.in.put(newMesg);
  217.       $display("Conv Encoder In Mesg: rate:%d, data:%b, counter:%d",newCtrl.rate,newData,newCounter);
  218.    endrule
  219.    rule putNewData(counter > 0);
  220.       let newCtrl = ctrl;
  221.       let newData = inData + 1;
  222.       let newMesg = Mesg { control: newCtrl,
  223.   data: newData};
  224.       let newCounter = counter - 1;
  225.       inData <= newData;
  226.       counter <= newCounter;
  227.       convEncoder.in.put(newMesg);
  228.       $display("Conv Encoder In Mesg: rate:%d, data:%b, counter:%d",newCtrl.rate,newData,newCounter);
  229.    endrule
  230.    
  231.    rule putPuncturer(True);
  232.       let mesg <- convEncoder.out.get;
  233.       puncturer.in.put(mesg);
  234.       $display("Conv Encoder Out Mesg: rate:%d, data:%b",mesg.control.rate,mesg.data);
  235.    endrule
  236.    
  237.    rule putMapper(True);
  238.       let mesg <- puncturer.out.get;
  239.       mapper.in.put(mesg);
  240.       $display("Puncturer Out Mesg: rate:%d, data:%b",mesg.control.rate,mesg.data);
  241.    endrule
  242.    
  243.    rule putDemapper(True);
  244.       let mesg <- mapper.out.get;
  245.       demapper.in.put(mesg);
  246.       $display("Mapper Out Mesg: rate:%d, data:%h",mesg.control.rate,mesg.data);
  247.    endrule
  248.    
  249.    rule putDepuncturer(True);
  250.       let mesg <- demapper.out.get;
  251.       depuncturer.in.put(mesg);
  252.       $display("Demapper Out Mesg: rate:%d, data:%b",mesg.control.rate,mesg.data);
  253.    endrule
  254.    rule putViterbi(True);
  255.       let mesg <- depuncturer.out.get;
  256.       viterbi.in.put(mesg);
  257.       $display("Depuncturer Out Mesg: rate:%d, data:%b",mesg.control.rate,mesg.data);
  258.    endrule
  259.    
  260.    rule getOutput(True);
  261.       let mesg <- viterbi.out.get;
  262.       $display("Viterbi Out Mesg: rate:%d, data:%b",mesg.control.rate,mesg.data);
  263.    endrule
  264.       
  265.    rule tick(True);
  266.       cycle <= cycle + 1;
  267.       if (cycle == 5000)
  268.  $finish;
  269.       $display("Cycle: %d",cycle);
  270.    endrule
  271.    
  272. endmodule
  273.    
  274.