WiFiTest.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:5k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import DataTypes::*;
  27. import Interfaces::*;
  28. import Parameters::*;
  29. import Transceiver::*;
  30. import RandomGen::*;
  31. import LibraryFunctions::*;
  32. import FPComplex::*;
  33. import GetPut::*;
  34. import TXController::*;
  35. import RXController::*;
  36. function Rate nextRate(Rate rate);
  37.    return case (rate)
  38.         R0: R1;
  39.        R1: R2;
  40.         R2: R3;
  41.         R3: R4;
  42.         R4: R5;
  43.        R5: R6;
  44.        R6: R7;
  45.        R7: R0;
  46.   endcase;
  47. endfunction
  48. (* synthesize *)
  49. module mkWiFiTransmitterTest(Empty);
  50.    
  51.    // state elements
  52.    let transmitter <- mkWiFiTransmitter;
  53.    Reg#(Bit#(32)) packetNo <- mkReg(0);
  54.    Reg#(Bit#(8))  data <- mkReg(0);
  55.    Reg#(Rate)     rate <- mkReg(R0);
  56.    Reg#(Bit#(12)) counter <- mkReg(0);
  57.    Reg#(Bit#(32)) cycle <- mkReg(0);
  58.    RandomGen#(64) randGen <- mkMersenneTwister(64'hB573AE980FF1134C);
  59.    
  60.    rule putTXStart(True);
  61.       let randData <- randGen.genRand;
  62.       let newRate = nextRate(rate);
  63.       Bit#(12) newLength = truncate(randData);
  64.       let txVec = TXVector{rate: newRate,
  65.    length: newLength,
  66.    service: 0,
  67.    power: 0};
  68.       rate <= newRate;
  69.       packetNo <= packetNo + 1;
  70.       transmitter.txStart(txVec);
  71.       $display("Going to send a packet %d at rate:%d, length:%d",packetNo,newRate,newLength);
  72.       if (packetNo == 51)
  73. $finish;
  74.    endrule
  75.    
  76.    rule putData(True);
  77.       data <= data + 1;
  78.       transmitter.txData(data);
  79.       $display("input: rate:%d, data:%h",rate,data);
  80.    endrule
  81.    
  82.    rule getOutput(True);
  83.       let mesg <- transmitter.out.get;
  84.       $write("output: data:");
  85.       fpcmplxWrite(4,mesg);
  86.       $display("");
  87.    endrule
  88.    
  89.    rule tick(True);
  90.       cycle <= cycle + 1;
  91.       if (cycle == 500000)
  92.  $finish;
  93.       $display("Cycle: %d",cycle);
  94.    endrule
  95. endmodule
  96. (* synthesize *)
  97. module mkWiFiTest (Empty);
  98.    // state elements
  99.    let transceiver <- mkTransceiver;
  100.    let transmitter = transceiver.transmitter;
  101.    let receiver    = transceiver.receiver;
  102.    Reg#(Bit#(32)) packetNo <- mkReg(0);
  103.    Reg#(Bit#(8))  data <- mkReg(0);
  104.    Reg#(Rate)     rate <- mkReg(R0);
  105.    Reg#(Bit#(12)) counter <- mkReg(0);
  106.    Reg#(Bit#(32)) cycle <- mkReg(0);
  107.    RandomGen#(64) randGen <- mkMersenneTwister(64'hB573AE980FF1134C);
  108.    
  109.    // rules
  110.    rule putTXStart(True);
  111.       let randData <- randGen.genRand;
  112.       let newRate = nextRate(rate);
  113.       Bit#(12) newLength = truncate(randData);
  114.       let txVec = TXVector{rate: newRate,
  115.    length: newLength,
  116.    service: 0,
  117.    power: 0};
  118.       rate <= newRate;
  119.       packetNo <= packetNo + 1;
  120.       transmitter.txStart(txVec);
  121.       $display("Going to send a packet %d at rate:%d, length:%d",packetNo,newRate,newLength);
  122.       if (packetNo == 51)
  123. $finish;
  124.    endrule
  125.    
  126.    rule putData(True);
  127.       data <= data + 1;
  128.       transmitter.txData(data);
  129.       $display("transmitter input: rate:%d, data:%h",rate,data);
  130.    endrule
  131.    
  132.    rule getOutput(True);
  133.       let mesg <- transmitter.out.get;
  134.       receiver.in.put(mesg);
  135.       $write("transmitter output: data:");
  136.       fpcmplxWrite(4,mesg);
  137.       $display("");
  138.    endrule
  139.    
  140.    rule getLength(True);
  141.       let length <- receiver.outLength.get;
  142.       $display("Going to receiver a packet of length:%d",length);
  143.    endrule
  144.    
  145.    rule getData(True);
  146.       let outData <- receiver.outData.get;
  147.       $display("receiver output: data:%h",outData);
  148.    endrule
  149.    
  150.    rule tick(True);
  151.       cycle <= cycle + 1;
  152.       if (cycle == 50000)
  153.  $finish;
  154.       $display("Cycle: %d",cycle);
  155.    endrule
  156.    
  157. endmodule