Decoder.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:3k
源码类别:

3G开发

开发平台:

Others

  1. //----------------------------------------------------------------------//
  2. // The MIT License 
  3. // 
  4. // Copyright (c) 2007 Alfred Man Cheuk Ng, mcn02@mit.edu 
  5. // 
  6. // Permission is hereby granted, free of charge, to any person 
  7. // obtaining a copy of this software and associated documentation 
  8. // files (the "Software"), to deal in the Software without 
  9. // restriction, including without limitation the rights to use,
  10. // copy, modify, merge, publish, distribute, sublicense, and/or sell
  11. // copies of the Software, and to permit persons to whom the
  12. // Software is furnished to do so, subject to the following conditions:
  13. // 
  14. // The above copyright notice and this permission notice shall be
  15. // included in all copies or substantial portions of the Software.
  16. // 
  17. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
  18. // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
  19. // OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
  20. // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
  21. // HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
  22. // WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
  23. // FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
  24. // OTHER DEALINGS IN THE SOFTWARE.
  25. //----------------------------------------------------------------------//
  26. import Controls::*;
  27. import DataTypes::*;
  28. import Interfaces::*;
  29. import Parameters::*;
  30. import GetPut::*;
  31. import Connectable::*;
  32. import Viterbi::*;
  33. import Depuncturer::*;
  34. import ReedDecoder::*;
  35. (* synthesize *)
  36. module mkDepuncturerInstance
  37.    (Depuncturer#(RXGlobalCtrl,DepuncturerInDataSz,
  38.  DepuncturerOutDataSz,DepuncturerInBufSz,
  39.  DepuncturerOutBufSz));
  40.    function DepunctData#(DepuncturerF1OutSz) dpp1
  41.       (DepunctData#(DepuncturerF1InSz) x);
  42.       return parDepunctFunc(dp1,x);
  43.    endfunction
  44.    
  45.    function DepunctData#(DepuncturerF2OutSz) dpp2
  46.       (DepunctData#(DepuncturerF2InSz) x);
  47.       return parDepunctFunc(dp2,x);
  48.    endfunction
  49.    
  50.    function DepunctData#(DepuncturerF3OutSz) dpp3
  51.       (DepunctData#(DepuncturerF3InSz) x);
  52.       return parDepunctFunc(dp3,x);
  53.    endfunction
  54.    
  55.    Depuncturer#(RXGlobalCtrl,DepuncturerInDataSz,
  56. DepuncturerOutDataSz,DepuncturerInBufSz,
  57. DepuncturerOutBufSz) depuncturer;
  58.    depuncturer <- mkDepuncturer(puncturerMapCtrl,dpp1,dpp2,dpp3);
  59.    return depuncturer;
  60. endmodule
  61. (* synthesize *)
  62. module mkViterbiInstance(Viterbi#(RXGlobalCtrl,ViterbiInDataSz,
  63.   ViterbiOutDataSz));
  64.    Viterbi#(RXGlobalCtrl,ViterbiInDataSz,ViterbiOutDataSz) viterbi;
  65.    viterbi <- mkViterbi;
  66.    return viterbi;
  67. endmodule
  68. (* synthesize *)
  69. module mkReedDecoderInstance(ReedDecoder#(RXGlobalCtrl,ReedDecoderDataSz,
  70.   ReedDecoderDataSz));
  71.    ReedDecoder#(RXGlobalCtrl,
  72. ReedDecoderDataSz,ReedDecoderDataSz) reedDecoder;
  73.    reedDecoder <- mkReedDecoder(reedEncoderMapCtrl);
  74.    return reedDecoder;
  75. endmodule
  76. module mkDecoder(Decoder#(RXGlobalCtrl,DecoderInDataSz,ViterbiMetric,
  77.   DecoderOutDataSz,Bit#(1)));
  78.    // state elements
  79.    let depuncturer <- mkDepuncturerInstance;
  80.    let viterbi <- mkViterbiInstance;
  81.    let reedDecoder <- mkReedDecoderInstance;
  82.    
  83.    // connections
  84.    mkConnection(depuncturer.out,viterbi.in);
  85.    mkConnection(viterbi.out,reedDecoder.in);
  86.    
  87.    // methods
  88.    interface in = depuncturer.in;
  89.    interface out = reedDecoder.out;
  90. endmodule