Controller.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:3k
源码类别:

3G开发

开发平台:

Others

  1. // The MIT License
  2. //
  3. // Copyright (c) 2006 Nirav Dave (ndave@csail.mit.edu)
  4. //
  5. // Permission is hereby granted, free of charge, to any person obtaining a copy
  6. // of this software and associated documentation files (the "Software"), to deal
  7. // in the Software without restriction, including without limitation the rights
  8. // to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
  9. // copies of the Software, and to permit persons to whom the Software is
  10. // furnished to do so, subject to the following conditions:
  11. //
  12. // The above copyright notice and this permission notice shall be included in
  13. // all copies or substantial portions of the Software.
  14. //
  15. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
  16. // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
  17. // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
  18. // AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
  19. // LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
  20. // OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
  21. // THE SOFTWARE.
  22. import FIFO::*;
  23. import DataTypes::*;
  24. import Interfaces::*;
  25. import LibraryFunctions::*;
  26. function Header#(24) makeHeader(Rate rate, Bit#(12) length);
  27.    function Bit#(4) translate_rate(Rate r);
  28.       case (r)
  29. R1:      return 4'b1101;
  30. R2:      return 4'b1111;
  31. R4:      return 4'b0101;
  32. default: return ?;
  33.       endcase
  34.    endfunction
  35.    function Bit#(12) translate_length (Bit#(12) x) = reverseBits(x);
  36.    
  37.    Bit#(1) parity = getParity({translate_rate(rate),length});
  38.       
  39.    return({translate_rate(rate),1'b0,translate_length(length),parity,6'b0});
  40.    
  41. endfunction
  42. (* synthesize *)
  43. module mkController(Controller#(24,24,24));
  44.   FIFO#(RateData#(24)) toS  <- mkFIFO();
  45.   FIFO#(Header#(24))   toC  <- mkFIFO();
  46.   Reg#(Bool)     active <- mkReg(False);
  47.   Reg#(Bit#(12)) length <- mkRegU;
  48.   Reg#(Rate)       rate <- mkRegU;
  49.  
  50.   method Action getFromMAC(TXMAC2ControllerInfo x) if (!active);
  51.      active <= True;
  52.      length <= x.length();
  53.      rate   <= x.rate();
  54.      toC.enq( makeHeader(x.rate, x.length));
  55.   endmethod
  56.   method Action getDataFromMAC(Data#(24) x) if (active);
  57.      toS.enq(RateData{
  58.        rate: rate,
  59.        data: x.data
  60.       });
  61.      rate   <= RNone;
  62.      length <= length - 3;
  63.      if (length <= 3 )
  64. active <= False;
  65.   endmethod
  66.    
  67.   method ActionValue#(Header#(24)) getHeader();
  68.      toC.deq();
  69.      return(toC.first);
  70.   endmethod
  71.    
  72.   method ActionValue#(RateData#(24)) getData();
  73.      toS.deq();
  74.      return(toS.first);
  75.   endmethod
  76. endmodule