MFIFO.bsv
上传用户:aoptech
上传日期:2014-09-22
资源大小:784k
文件大小:2k
源码类别:

3G开发

开发平台:

Others

  1. // The MIT License
  2. //
  3. // Copyright (c) 2006 Nirav Dave (ndave@csail.mit.edu)
  4. //
  5. // Permission is hereby granted, free of charge, to any person obtaining a copy
  6. // of this software and associated documentation files (the "Software"), to deal
  7. // in the Software without restriction, including without limitation the rights
  8. // to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
  9. // copies of the Software, and to permit persons to whom the Software is
  10. // furnished to do so, subject to the following conditions:
  11. //
  12. // The above copyright notice and this permission notice shall be included in
  13. // all copies or substantial portions of the Software.
  14. //
  15. // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
  16. // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
  17. // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
  18. // AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
  19. // LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
  20. // OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
  21. // THE SOFTWARE.
  22. import FIFOF::*;
  23. interface MFIFO#(type alpha);
  24.    method Action enq(alpha x);
  25.    method Action deq();
  26.    method Maybe#(alpha) first();
  27.    method Action clear();   
  28. endinterface
  29. module mkMFIFO(MFIFO#(alpha)) provisos(Bits#(alpha, asx));
  30.    
  31.    FIFOF#(alpha) f <- mkUGFIFOF();
  32.    
  33.    method Action enq(x) if(f.notFull);
  34.       f.enq(x);
  35.    endmethod
  36.    method Action deq();
  37.      if (f.notEmpty)
  38. f.deq();
  39.    endmethod
  40.    
  41.    method Maybe#(alpha) first();
  42.     return (f.notEmpty) ? Just(f.first()) : Nothing;
  43.    endmethod
  44.    
  45.    method Action clear();
  46.       f.clear();
  47.    endmethod
  48.       
  49. endmodule