cpu.flow.rpt
上传用户:bltddc
上传日期:2020-07-09
资源大小:4428k
文件大小:7k
源码类别:

SCSI/ASPI

开发平台:

VHDL

  1. Flow report for cpu
  2. Mon Mar 08 21:52:32 2010
  3. Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
  4. ---------------------
  5. ; Table of Contents ;
  6. ---------------------
  7.   1. Legal Notice
  8.   2. Flow Summary
  9.   3. Flow Settings
  10.   4. Flow Non-Default Global Settings
  11.   5. Flow Elapsed Time
  12.   6. Flow OS Summary
  13.   7. Flow Log
  14. ----------------
  15. ; Legal Notice ;
  16. ----------------
  17. Copyright (C) 1991-2009 Altera Corporation
  18. Your use of Altera Corporation's design tools, logic functions 
  19. and other software and tools, and its AMPP partner logic 
  20. functions, and any output files from any of the foregoing 
  21. (including device programming or simulation files), and any 
  22. associated documentation or information are expressly subject 
  23. to the terms and conditions of the Altera Program License 
  24. Subscription Agreement, Altera MegaCore Function License 
  25. Agreement, or other applicable license agreement, including, 
  26. without limitation, that your use is for the sole purpose of 
  27. programming logic devices manufactured by Altera and sold by 
  28. Altera or its authorized distributors.  Please refer to the 
  29. applicable agreement for further details.
  30. +--------------------------------------------------------------------------+
  31. ; Flow Summary                                                             ;
  32. +-------------------------------+------------------------------------------+
  33. ; Flow Status                   ; Successful - Mon Mar 08 21:52:31 2010    ;
  34. ; Quartus II Version            ; 9.0 Build 132 02/25/2009 SJ Full Version ;
  35. ; Revision Name                 ; cpu                                      ;
  36. ; Top-level Entity Name         ; cpu                                      ;
  37. ; Family                        ; Stratix II                               ;
  38. ; Met timing requirements       ; Yes                                      ;
  39. ; Logic utilization             ; 9 %                                      ;
  40. ;     Combinational ALUTs       ; 597 / 12,480 ( 5 % )                     ;
  41. ;     Dedicated logic registers ; 660 / 12,480 ( 5 % )                     ;
  42. ; Total registers               ; 660                                      ;
  43. ; Total pins                    ; 113 / 343 ( 33 % )                       ;
  44. ; Total virtual pins            ; 0                                        ;
  45. ; Total block memory bits       ; 0 / 419,328 ( 0 % )                      ;
  46. ; DSP block 9-bit elements      ; 0 / 96 ( 0 % )                           ;
  47. ; Total PLLs                    ; 0 / 6 ( 0 % )                            ;
  48. ; Total DLLs                    ; 0 / 2 ( 0 % )                            ;
  49. ; Device                        ; EP2S15F484C3                             ;
  50. ; Timing Models                 ; Final                                    ;
  51. +-------------------------------+------------------------------------------+
  52. +-----------------------------------------+
  53. ; Flow Settings                           ;
  54. +-------------------+---------------------+
  55. ; Option            ; Setting             ;
  56. +-------------------+---------------------+
  57. ; Start date & time ; 03/08/2010 21:52:09 ;
  58. ; Main task         ; Compilation         ;
  59. ; Revision Name     ; cpu                 ;
  60. +-------------------+---------------------+
  61. +---------------------------------------------------------------------------------------------------------------------+
  62. ; Flow Non-Default Global Settings                                                                                    ;
  63. +------------------------------------+---------------------------------+---------------+-------------+----------------+
  64. ; Assignment Name                    ; Value                           ; Default Value ; Entity Name ; Section Id     ;
  65. +------------------------------------+---------------------------------+---------------+-------------+----------------+
  66. ; COMPILER_SIGNATURE_ID              ; 269166784769355.126805632905776 ; --            ; --          ; --             ;
  67. ; PARTITION_COLOR                    ; 16764057                        ; --            ; --          ; Top            ;
  68. ; PARTITION_NETLIST_TYPE             ; SOURCE                          ; --            ; --          ; Top            ;
  69. ; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off                             ; --            ; --          ; eda_blast_fpga ;
  70. +------------------------------------+---------------------------------+---------------+-------------+----------------+
  71. +-----------------------------------------------------------------------------------------------------------------------------+
  72. ; Flow Elapsed Time                                                                                                           ;
  73. +-------------------------+--------------+-------------------------+---------------------+------------------------------------+
  74. ; Module Name             ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
  75. +-------------------------+--------------+-------------------------+---------------------+------------------------------------+
  76. ; Analysis & Synthesis    ; 00:00:05     ; 1.0                     ; 175 MB              ; 00:00:05                           ;
  77. ; Fitter                  ; 00:00:08     ; 1.2                     ; 228 MB              ; 00:00:08                           ;
  78. ; Assembler               ; 00:00:04     ; 1.0                     ; 190 MB              ; 00:00:04                           ;
  79. ; Classic Timing Analyzer ; 00:00:01     ; 1.0                     ; 136 MB              ; 00:00:01                           ;
  80. ; Total                   ; 00:00:18     ; --                      ; --                  ; 00:00:18                           ;
  81. +-------------------------+--------------+-------------------------+---------------------+------------------------------------+
  82. +---------------------------------------------------------------------------------------+
  83. ; Flow OS Summary                                                                       ;
  84. +-------------------------+------------------+------------+------------+----------------+
  85. ; Module Name             ; Machine Hostname ; OS Name    ; OS Version ; Processor type ;
  86. +-------------------------+------------------+------------+------------+----------------+
  87. ; Analysis & Synthesis    ; PC-20091129PVKU  ; Windows XP ; 5.1        ; i686           ;
  88. ; Fitter                  ; PC-20091129PVKU  ; Windows XP ; 5.1        ; i686           ;
  89. ; Assembler               ; PC-20091129PVKU  ; Windows XP ; 5.1        ; i686           ;
  90. ; Classic Timing Analyzer ; PC-20091129PVKU  ; Windows XP ; 5.1        ; i686           ;
  91. +-------------------------+------------------+------------+------------+----------------+
  92. ------------
  93. ; Flow Log ;
  94. ------------
  95. quartus_map --read_settings_files=on --write_settings_files=off cpu -c cpu
  96. quartus_fit --read_settings_files=off --write_settings_files=off cpu -c cpu
  97. quartus_asm --read_settings_files=off --write_settings_files=off cpu -c cpu
  98. quartus_tan --read_settings_files=off --write_settings_files=off cpu -c cpu --timing_analysis_only