cpu.qsf
上传用户:bltddc
上传日期:2020-07-09
资源大小:4428k
文件大小:2k
源码类别:

SCSI/ASPI

开发平台:

VHDL

  1. # -------------------------------------------------------------------------- #
  2. #
  3. # Copyright (C) 1991-2009 Altera Corporation
  4. # Your use of Altera Corporation's design tools, logic functions 
  5. # and other software and tools, and its AMPP partner logic 
  6. # functions, and any output files from any of the foregoing 
  7. # (including device programming or simulation files), and any 
  8. # associated documentation or information are expressly subject 
  9. # to the terms and conditions of the Altera Program License 
  10. # Subscription Agreement, Altera MegaCore Function License 
  11. # Agreement, or other applicable license agreement, including, 
  12. # without limitation, that your use is for the sole purpose of 
  13. # programming logic devices manufactured by Altera and sold by 
  14. # Altera or its authorized distributors.  Please refer to the 
  15. # applicable agreement for further details.
  16. #
  17. # -------------------------------------------------------------------------- #
  18. #
  19. # Quartus II
  20. # Version 9.0 Build 132 02/25/2009 SJ Full Version
  21. # Date created = 21:52:06  March 08, 2010
  22. #
  23. # -------------------------------------------------------------------------- #
  24. #
  25. # Notes:
  26. #
  27. # 1) The default values for assignments are stored in the file:
  28. # cpu_assignment_defaults.qdf
  29. #    If this file doesn't exist, see file:
  30. # assignment_defaults.qdf
  31. #
  32. # 2) Altera recommends that you do not modify this file. This
  33. #    file is updated automatically by the Quartus II software
  34. #    and any changes you make may be lost or overwritten.
  35. #
  36. # -------------------------------------------------------------------------- #
  37. set_global_assignment -name FAMILY "Stratix II"
  38. set_global_assignment -name DEVICE AUTO
  39. set_global_assignment -name TOP_LEVEL_ENTITY cpu
  40. set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
  41. set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:52:06  MARCH 08, 2010"
  42. set_global_assignment -name LAST_QUARTUS_VERSION 9.0
  43. set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
  44. set_global_assignment -name DEVICE_FILTER_SPEED_GRADE FASTEST
  45. set_global_assignment -name VERILOG_FILE cpu.v
  46. set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
  47. set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
  48. set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
  49. set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
  50. set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"