dmt_mod.vhd
上传用户:jin985143
上传日期:2020-09-30
资源大小:278k
文件大小:2k
源码类别:

Modem编程

开发平台:

VHDL

  1. -------------------------------------------------------------------------------
  2. -- Title      : DMT modulator
  3. -- Project    : 
  4. -------------------------------------------------------------------------------
  5. -- File       : dmt_mod.vhd
  6. -- Author     : 
  7. -- Company    : 
  8. -- Created    : 2004-05-17
  9. -- Last update: 2004-05-17
  10. -- Platform   : 
  11. -------------------------------------------------------------------------------
  12. -- Description: 
  13. -------------------------------------------------------------------------------
  14. -- Copyright (c) 2004 
  15. -------------------------------------------------------------------------------
  16. -- Revisions  :
  17. -- Date        Version  Author  Description
  18. -- 2004-05-17  1.0      guenter Created
  19. -------------------------------------------------------------------------------
  20. library ieee;
  21. use ieee.std_logic_1164.all;
  22. entity dmt_mod is
  23.   
  24.   port (
  25.     clk_i        : in  std_logic;
  26.     rst_i        : in  std_logic;
  27.     data_en_i    : in  std_logic;       -- enables the data processing mode
  28.     data_i       : in  std_logic_vector(14 downto 0);  -- input data to the modulator core
  29.     conf_we_i    : in  std_logic;       -- enables the configuration mode
  30.     bin_addr_i   : in  std_logic_vector(7 downto 0);  -- addresses the bin configuration
  31.     const_size_i : in  std_logic_vector(3 downto 0);  -- constellation size for the addressed bin
  32.     gain_i       : in  std_logic_vector(11 downto 0);  -- gain value for the addressed bin
  33.     data_o       : out std_logic_vector(15 downto 0));  -- output data from the modulator core
  34. end dmt_mod;
  35. architecture arch of dmt_mod is
  36. begin  -- arch
  37.   
  38. end arch;