examp1_assignment_defaults.qdf
上传用户:jinxingdao
上传日期:2021-04-27
资源大小:415k
文件大小:32k
源码类别:

扫描程序

开发平台:

VHDL

  1. set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On
  2. set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off
  3. set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off
  4. set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db
  5. set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off
  6. set_global_assignment -name SMART_RECOMPILE Off
  7. set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off
  8. set_global_assignment -name FLOW_ENABLE_HCII_COMPARE Off
  9. set_global_assignment -name HCII_OUTPUT_DIR hc_output
  10. set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off
  11. set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off
  12. set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On
  13. set_global_assignment -name NUM_PARALLEL_PROCESSORS 1
  14. set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off
  15. set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings"
  16. set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On
  17. set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle"
  18. set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On
  19. set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On
  20. set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On
  21. set_global_assignment -name DO_COMBINED_ANALYSIS Off
  22. set_global_assignment -name IGNORE_CLOCK_SETTINGS Off
  23. set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On
  24. set_global_assignment -name ENABLE_RECOVERY_REMOVAL_ANALYSIS Off
  25. set_global_assignment -name ENABLE_CLOCK_LATENCY Off
  26. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family ACEX1K
  27. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000B
  28. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "HardCopy II"
  29. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10KA
  30. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family TGX
  31. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Cyclone III"
  32. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "HardCopy Stratix"
  33. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family APEX20KE
  34. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000AE
  35. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family Cyclone
  36. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix II GX"
  37. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10K
  38. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "MAX II"
  39. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family APEX20KC
  40. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix GX"
  41. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family HCX
  42. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000S
  43. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX6000
  44. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "APEX II"
  45. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10KE
  46. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Cyclone II"
  47. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Stratix III"
  48. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Arria GX"
  49. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX3000A
  50. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix II"
  51. set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family Stratix
  52. set_global_assignment -name NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT 10
  53. set_global_assignment -name NUMBER_OF_DESTINATION_TO_REPORT 10
  54. set_global_assignment -name NUMBER_OF_PATHS_TO_REPORT 200
  55. set_global_assignment -name DO_MIN_ANALYSIS Off
  56. set_global_assignment -name DO_MIN_TIMING Off
  57. set_global_assignment -name REPORT_IO_PATHS_SEPARATELY Off
  58. set_global_assignment -name FLOW_ENABLE_TIMING_CONSTRAINT_CHECK Off
  59. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family ACEX1K
  60. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000B
  61. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "HardCopy II"
  62. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10KA
  63. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family TGX
  64. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone III"
  65. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "HardCopy Stratix"
  66. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family APEX20KE
  67. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000AE
  68. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Cyclone
  69. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II GX"
  70. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10K
  71. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "MAX II"
  72. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family APEX20KC
  73. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "Stratix GX"
  74. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family HCX
  75. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000S
  76. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX6000
  77. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "APEX II"
  78. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10KE
  79. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone II"
  80. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix III"
  81. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria GX"
  82. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX3000A
  83. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II"
  84. set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Stratix
  85. set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING Off
  86. set_global_assignment -name MUX_RESTRUCTURE Auto
  87. set_global_assignment -name ENABLE_IP_DEBUG Off
  88. set_global_assignment -name SAVE_DISK_SPACE On
  89. set_global_assignment -name DISABLE_OCP_HW_EVAL Off
  90. set_global_assignment -name DEVICE_FILTER_PACKAGE Any
  91. set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any
  92. set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any
  93. set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "<None>"
  94. set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001
  95. set_global_assignment -name VHDL_INPUT_VERSION VHDL93
  96. set_global_assignment -name FAMILY -value "Stratix II"
  97. set_global_assignment -name TRUE_WYSIWYG_FLOW Off
  98. set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off
  99. set_global_assignment -name STATE_MACHINE_PROCESSING Auto
  100. set_global_assignment -name SAFE_STATE_MACHINE Off
  101. set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On
  102. set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On
  103. set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off
  104. set_global_assignment -name ADD_PASS_THROUGH_LOGIC_TO_INFERRED_RAMS On
  105. set_global_assignment -name DSP_BLOCK_BALANCING Auto
  106. set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)"
  107. set_global_assignment -name NOT_GATE_PUSH_BACK On
  108. set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On
  109. set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off
  110. set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On
  111. set_global_assignment -name IGNORE_CARRY_BUFFERS Off
  112. set_global_assignment -name IGNORE_CASCADE_BUFFERS Off
  113. set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off
  114. set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off
  115. set_global_assignment -name IGNORE_LCELL_BUFFERS Off
  116. set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO
  117. set_global_assignment -name IGNORE_SOFT_BUFFERS On
  118. set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off
  119. set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off
  120. set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On
  121. set_global_assignment -name AUTO_GLOBAL_OE_MAX On
  122. set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On
  123. set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off
  124. set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut
  125. set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced
  126. set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced
  127. set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced
  128. set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced
  129. set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced
  130. set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed
  131. set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced
  132. set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area
  133. set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area
  134. set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area
  135. set_global_assignment -name ALLOW_XOR_GATE_USAGE On
  136. set_global_assignment -name AUTO_LCELL_INSERTION On
  137. set_global_assignment -name CARRY_CHAIN_LENGTH 48
  138. set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32
  139. set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32
  140. set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48
  141. set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70
  142. set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70
  143. set_global_assignment -name CASCADE_CHAIN_LENGTH 2
  144. set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16
  145. set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4
  146. set_global_assignment -name AUTO_CARRY_CHAINS On
  147. set_global_assignment -name AUTO_CASCADE_CHAINS On
  148. set_global_assignment -name AUTO_PARALLEL_EXPANDERS On
  149. set_global_assignment -name AUTO_OPEN_DRAIN_PINS On
  150. set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off
  151. set_global_assignment -name ADV_NETLIST_OPT_SYNTH_GATE_RETIME Off
  152. set_global_assignment -name ADV_NETLIST_OPT_RETIME_CORE_AND_IO On
  153. set_global_assignment -name AUTO_ROM_RECOGNITION On
  154. set_global_assignment -name AUTO_RAM_RECOGNITION On
  155. set_global_assignment -name AUTO_DSP_RECOGNITION On
  156. set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto
  157. set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On
  158. set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On
  159. set_global_assignment -name FORCE_SYNCH_CLEAR Off
  160. set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On
  161. set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off
  162. set_global_assignment -name AUTO_RESOURCE_SHARING Off
  163. set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off
  164. set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off
  165. set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off
  166. set_global_assignment -name MAX7000_FANIN_PER_CELL 100
  167. set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)"
  168. set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)"
  169. set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)"
  170. set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off
  171. set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off
  172. set_global_assignment -name SHOW_PARAMETER_SETTINGS_TABLES_IN_SYNTHESIS_REPORT On
  173. set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off
  174. set_global_assignment -name ADV_NETLIST_OPT_METASTABLE_REGS 2
  175. set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation"
  176. set_global_assignment -name HDL_MESSAGE_LEVEL Level2
  177. set_global_assignment -name SUPPRESS_REG_MINIMIZATION_MSG Off
  178. set_global_assignment -name USE_HIGH_SPEED_ADDER Auto
  179. set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 100
  180. set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On
  181. set_global_assignment -name BLOCK_DESIGN_NAMING Auto
  182. set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal
  183. set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0
  184. set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0
  185. set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0
  186. set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off
  187. set_global_assignment -name DEVICE AUTO
  188. set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off
  189. set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off
  190. set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On
  191. set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO"
  192. set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin"
  193. set_global_assignment -name STRATIXIII_UPDATE_MODE Standard
  194. set_global_assignment -name STRATIX_UPDATE_MODE Standard
  195. set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial"
  196. set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial"
  197. set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial"
  198. set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial"
  199. set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial"
  200. set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial"
  201. set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial"
  202. set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial"
  203. set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial"
  204. set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial"
  205. set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial"
  206. set_global_assignment -name USER_START_UP_CLOCK Off
  207. set_global_assignment -name ENABLE_VREFA_PIN Off
  208. set_global_assignment -name ENABLE_VREFB_PIN Off
  209. set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off
  210. set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off
  211. set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off
  212. set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off
  213. set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground"
  214. set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off
  215. set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off
  216. set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO"
  217. set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO"
  218. set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO"
  219. set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated"
  220. set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO"
  221. set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated"
  222. set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO"
  223. set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated"
  224. set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO"
  225. set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin"
  226. set_global_assignment -name CRC_ERROR_CHECKING Off
  227. set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths"
  228. set_global_assignment -name OPTIMIZE_FAST_CORNER_TIMING Off
  229. set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On
  230. set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto
  231. set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care
  232. set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic
  233. set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0
  234. set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On
  235. set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation"
  236. set_global_assignment -name OPTIMIZE_TIMING "Normal compilation"
  237. set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING On
  238. set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off
  239. set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically
  240. set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically
  241. set_global_assignment -name SEED 1
  242. set_global_assignment -name SLOW_SLEW_RATE Off
  243. set_global_assignment -name PCI_IO Off
  244. set_global_assignment -name TURBO_BIT On
  245. set_global_assignment -name WEAK_PULL_UP_RESISTOR Off
  246. set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off
  247. set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off
  248. set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On
  249. set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII AUTO
  250. set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII AUTO
  251. set_global_assignment -name AUTO_PACKED_REGISTERS_CYCLONE Auto
  252. set_global_assignment -name AUTO_PACKED_REGISTERS Off
  253. set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIX AUTO
  254. set_global_assignment -name NORMAL_LCELL_INSERT On
  255. set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On
  256. set_global_assignment -name AUTO_DELAY_CHAINS On
  257. set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off
  258. set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off
  259. set_global_assignment -name AUTO_MERGE_PLLS On
  260. set_global_assignment -name IGNORE_MODE_FOR_MERGE Off
  261. set_global_assignment -name AUTO_TURBO_BIT ON
  262. set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off
  263. set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off
  264. set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off
  265. set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off
  266. set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off
  267. set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off
  268. set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off
  269. set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On
  270. set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off
  271. set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off
  272. set_global_assignment -name FITTER_EFFORT "Auto Fit"
  273. set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns
  274. set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal
  275. set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION AUTO
  276. set_global_assignment -name ROUTER_REGISTER_DUPLICATION AUTO
  277. set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off
  278. set_global_assignment -name AUTO_GLOBAL_CLOCK On
  279. set_global_assignment -name AUTO_GLOBAL_OE On
  280. set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On
  281. set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic
  282. set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off
  283. set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off
  284. set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off
  285. set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off
  286. set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off
  287. set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off
  288. set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off
  289. set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off
  290. set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off
  291. set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off
  292. set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off
  293. set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off
  294. set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off
  295. set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off
  296. set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off
  297. set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up"
  298. set_global_assignment -name STOP_AFTER_CONGESTION_MAP Off
  299. set_global_assignment -name SAVE_INTERMEDIATE_FITTING_RESULTS Off
  300. set_global_assignment -name ENABLE_HOLD_BACK_OFF On
  301. set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto
  302. set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off
  303. set_global_assignment -name EDA_SIMULATION_TOOL "<None>"
  304. set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "<None>"
  305. set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "<None>"
  306. set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "<None>"
  307. set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "<None>"
  308. set_global_assignment -name EDA_BOARD_DESIGN_TOOL "<None>"
  309. set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "<None>"
  310. set_global_assignment -name EDA_RESYNTHESIS_TOOL "<None>"
  311. set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On
  312. set_global_assignment -name COMPRESSION_MODE Off
  313. set_global_assignment -name CLOCK_SOURCE Internal
  314. set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz"
  315. set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1
  316. set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On
  317. set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off
  318. set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On
  319. set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF
  320. set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF
  321. set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF
  322. set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF
  323. set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F
  324. set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF
  325. set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off
  326. set_global_assignment -name USE_CHECKSUM_AS_USERCODE Off
  327. set_global_assignment -name SECURITY_BIT Off
  328. set_global_assignment -name USE_CONFIGURATION_DEVICE On
  329. set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto
  330. set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto
  331. set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto
  332. set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto
  333. set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto
  334. set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto
  335. set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto
  336. set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto
  337. set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
  338. set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
  339. set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
  340. set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
  341. set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off
  342. set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On
  343. set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off
  344. set_global_assignment -name GENERATE_TTF_FILE Off
  345. set_global_assignment -name GENERATE_RBF_FILE Off
  346. set_global_assignment -name GENERATE_HEX_FILE Off
  347. set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0
  348. set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up
  349. set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal"
  350. set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off
  351. set_global_assignment -name AUTO_RESTART_CONFIGURATION On
  352. set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off
  353. set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off
  354. set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On
  355. set_global_assignment -name ENABLE_OCT_DONE Off
  356. set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT Off
  357. set_global_assignment -name START_TIME 0ns
  358. set_global_assignment -name SIMULATION_MODE TIMING
  359. set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off
  360. set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On
  361. set_global_assignment -name SETUP_HOLD_DETECTION Off
  362. set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off
  363. set_global_assignment -name CHECK_OUTPUTS Off
  364. set_global_assignment -name SIMULATION_COVERAGE On
  365. set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On
  366. set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On
  367. set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On
  368. set_global_assignment -name GLITCH_DETECTION Off
  369. set_global_assignment -name GLITCH_INTERVAL 1ns
  370. set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off
  371. set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On
  372. set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off
  373. set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On
  374. set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE
  375. set_global_assignment -name SIMULATION_NETLIST_VIEWER Off
  376. set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT
  377. set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT
  378. set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off
  379. set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO
  380. set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO
  381. set_global_assignment -name DRC_TOP_FANOUT 50
  382. set_global_assignment -name DRC_FANOUT_EXCEEDING 30
  383. set_global_assignment -name DRC_GATED_CLOCK_FEED 30
  384. set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY
  385. set_global_assignment -name ENABLE_DRC_SETTINGS Off
  386. set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25
  387. set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10
  388. set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30
  389. set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2
  390. set_global_assignment -name MERGE_HEX_FILE Off
  391. set_global_assignment -name GENERATE_SVF_FILE Off
  392. set_global_assignment -name GENERATE_ISC_FILE Off
  393. set_global_assignment -name GENERATE_JAM_FILE Off
  394. set_global_assignment -name GENERATE_JBC_FILE Off
  395. set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On
  396. set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off
  397. set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off
  398. set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off
  399. set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off
  400. set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On
  401. set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off
  402. set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state"
  403. set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off
  404. set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off
  405. set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT Off
  406. set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5%
  407. set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5%
  408. set_global_assignment -name POWER_USE_PVA On
  409. set_global_assignment -name POWER_USE_INPUT_FILE "No File"
  410. set_global_assignment -name POWER_USE_INPUT_FILES Off
  411. set_global_assignment -name POWER_VCD_FILTER_GLITCHES On
  412. set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY -value ON
  413. set_global_assignment -name POWER_REPORT_POWER_DISSIPATION -value ON
  414. set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL
  415. set_global_assignment -name POWER_AUTO_COMPUTE_TJ On
  416. set_global_assignment -name POWER_TJ_VALUE 25
  417. set_global_assignment -name POWER_USE_TA_VALUE 25
  418. set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off
  419. set_global_assignment -name POWER_BOARD_TEMPERATURE 25
  420. set_global_assignment -name INCREMENTAL_COMPILATION FULL_INCREMENTAL_COMPILATION
  421. set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off
  422. set_global_assignment -name INCREMENTAL_COMPILATION_EXPORT_NETLIST_TYPE POST_FIT
  423. set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On
  424. set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On
  425. set_global_assignment -name RTLV_GROUP_RELATED_NODES On
  426. set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off
  427. set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On
  428. set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On
  429. set_global_assignment -name EQC_BBOX_MERGE On
  430. set_global_assignment -name EQC_LVDS_MERGE On
  431. set_global_assignment -name EQC_RAM_UNMERGING On
  432. set_global_assignment -name EQC_DFF_SS_EMULATION On
  433. set_global_assignment -name EQC_RAM_REGISTER_UNPACK On
  434. set_global_assignment -name EQC_MAC_REGISTER_UNPACK On
  435. set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On
  436. set_global_assignment -name EQC_STRUCTURE_MATCHING On
  437. set_global_assignment -name EQC_AUTO_BREAK_CONE On
  438. set_global_assignment -name EQC_POWER_UP_COMPARE Off
  439. set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On
  440. set_global_assignment -name EQC_AUTO_INVERSION On
  441. set_global_assignment -name EQC_AUTO_TERMINATE On
  442. set_global_assignment -name EQC_SUB_CONE_REPORT Off
  443. set_global_assignment -name EQC_RENAMING_RULES On
  444. set_global_assignment -name EQC_PARAMETER_CHECK On
  445. set_global_assignment -name EQC_AUTO_PORTSWAP On
  446. set_global_assignment -name EQC_DETECT_DONT_CARES On
  447. set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off
  448. set_global_assignment -name DUTY_CYCLE 50 -section_id ?
  449. set_global_assignment -name INVERT_BASE_CLOCK Off -section_id ?
  450. set_global_assignment -name MULTIPLY_BASE_CLOCK_PERIOD_BY 1 -section_id ?
  451. set_global_assignment -name DIVIDE_BASE_CLOCK_PERIOD_BY 1 -section_id ?
  452. set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ?
  453. set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ?
  454. set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ?
  455. set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ?
  456. set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ?
  457. set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ?
  458. set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ?
  459. set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ?
  460. set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ?
  461. set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ?
  462. set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ?
  463. set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ?
  464. set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ?
  465. set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ?
  466. set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ?
  467. set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ?
  468. set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ?
  469. set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ?
  470. set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY Off -section_id ?
  471. set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST Off -section_id ?
  472. set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ?
  473. set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ?
  474. set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ?
  475. set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ?
  476. set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ?
  477. set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ?
  478. set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ?
  479. set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ?
  480. set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ?
  481. set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ?
  482. set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ?
  483. set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ?
  484. set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ?
  485. set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ?
  486. set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ?
  487. set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ?
  488. set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ?
  489. set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ?
  490. set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS REPLACE_CONFLICTING -section_id ? -entity ?
  491. set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ?