examp1.flow.rpt
上传用户:jinxingdao
上传日期:2021-04-27
资源大小:415k
文件大小:4k
源码类别:

扫描程序

开发平台:

VHDL

  1. Flow report for examp1
  2. Fri Jan 22 09:53:27 2010
  3. Quartus II Version 7.2 Build 151 09/26/2007 SJ Full Version
  4. ---------------------
  5. ; Table of Contents ;
  6. ---------------------
  7.   1. Legal Notice
  8.   2. Flow Summary
  9.   3. Flow Settings
  10.   4. Flow Non-Default Global Settings
  11.   5. Flow Elapsed Time
  12.   6. Flow Log
  13. ----------------
  14. ; Legal Notice ;
  15. ----------------
  16. Copyright (C) 1991-2007 Altera Corporation
  17. Your use of Altera Corporation's design tools, logic functions 
  18. and other software and tools, and its AMPP partner logic 
  19. functions, and any output files from any of the foregoing 
  20. (including device programming or simulation files), and any 
  21. associated documentation or information are expressly subject 
  22. to the terms and conditions of the Altera Program License 
  23. Subscription Agreement, Altera MegaCore Function License 
  24. Agreement, or other applicable license agreement, including, 
  25. without limitation, that your use is for the sole purpose of 
  26. programming logic devices manufactured by Altera and sold by 
  27. Altera or its authorized distributors.  Please refer to the 
  28. applicable agreement for further details.
  29. +--------------------------------------------------------------------+
  30. ; Flow Summary                                                       ;
  31. +-------------------------+------------------------------------------+
  32. ; Flow Status             ; Successful - Fri Jan 22 09:53:27 2010    ;
  33. ; Quartus II Version      ; 7.2 Build 151 09/26/2007 SJ Full Version ;
  34. ; Revision Name           ; examp1                                   ;
  35. ; Top-level Entity Name   ; examp1                                   ;
  36. ; Family                  ; FLEX10K                                  ;
  37. ; Device                  ; EPF10K10QI208-4                          ;
  38. ; Timing Models           ; Final                                    ;
  39. ; Met timing requirements ; No                                       ;
  40. ; Total logic elements    ; 196 / 576 ( 34 % )                       ;
  41. ; Total pins              ; 124 / 134 ( 93 % )                       ;
  42. ; Total memory bits       ; 0 / 6,144 ( 0 % )                        ;
  43. +-------------------------+------------------------------------------+
  44. +-----------------------------------------+
  45. ; Flow Settings                           ;
  46. +-------------------+---------------------+
  47. ; Option            ; Setting             ;
  48. +-------------------+---------------------+
  49. ; Start date & time ; 01/22/2010 09:53:17 ;
  50. ; Main task         ; Compilation         ;
  51. ; Revision Name     ; examp1              ;
  52. +-------------------+---------------------+
  53. +--------------------------------------------------------------------+
  54. ; Flow Non-Default Global Settings                                   ;
  55. +-----------------+-------+---------------+-------------+------------+
  56. ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
  57. +-----------------+-------+---------------+-------------+------------+
  58. +------------------------------------------------------------------+
  59. ; Flow Elapsed Time                                                ;
  60. +-------------------------+--------------+-------------------------+
  61. ; Module Name             ; Elapsed Time ; Average Processors Used ;
  62. +-------------------------+--------------+-------------------------+
  63. ; Analysis & Synthesis    ; 00:00:02     ; 1.0                     ;
  64. ; Fitter                  ; 00:00:03     ; 1.0                     ;
  65. ; Assembler               ; 00:00:01     ; 1.0                     ;
  66. ; Classic Timing Analyzer ; 00:00:01     ; 1.0                     ;
  67. ; Total                   ; 00:00:07     ; --                      ;
  68. +-------------------------+--------------+-------------------------+
  69. ------------
  70. ; Flow Log ;
  71. ------------
  72. quartus_map --read_settings_files=on --write_settings_files=off examp1 -c examp1
  73. quartus_fit --read_settings_files=off --write_settings_files=off examp1 -c examp1
  74. quartus_asm --read_settings_files=off --write_settings_files=off examp1 -c examp1
  75. quartus_tan --read_settings_files=off --write_settings_files=off examp1 -c examp1