Sound.clw
上传用户:hygd004
上传日期:2022-02-04
资源大小:1841k
文件大小:1k
源码类别:

DirextX编程

开发平台:

Visual C++

  1. ; CLW file contains information for the MFC ClassWizard
  2. [General Info]
  3. Version=1
  4. LastClass=CSoundDlg
  5. LastTemplate=CDialog
  6. NewFileInclude1=#include "stdafx.h"
  7. NewFileInclude2=#include "Sound.h"
  8. ClassCount=2
  9. Class1=CSoundApp
  10. Class2=CSoundDlg
  11. ResourceCount=3
  12. Resource2=IDR_MAINFRAME
  13. Resource3=IDD_SOUND_DIALOG
  14. [CLS:CSoundApp]
  15. Type=0
  16. HeaderFile=Sound.h
  17. ImplementationFile=Sound.cpp
  18. Filter=N
  19. [CLS:CSoundDlg]
  20. Type=0
  21. HeaderFile=SoundDlg.h
  22. ImplementationFile=SoundDlg.cpp
  23. Filter=D
  24. BaseClass=CDialog
  25. VirtualFilter=dWC
  26. LastObject=IDC_Sound1
  27. [DLG:IDD_SOUND_DIALOG]
  28. Type=1
  29. Class=CSoundDlg
  30. ControlCount=7
  31. Control1=IDC_Sound_stop,button,1342242816
  32. Control2=IDC_sound_pause,button,1342242816
  33. Control3=IDC_Sound_Play,button,1342242816
  34. Control4=IDC_BUTTON_Slow,button,1342242816
  35. Control5=IDC_BUTTON_Fast,button,1342242816
  36. Control6=IDC_BUTTON_Normal,button,1342242816
  37. Control7=IDC_Sound_open,button,1342242816