12.clw
上传用户:dzxhhx
上传日期:2022-06-05
资源大小:3604k
文件大小:1k
源码类别:

图片显示

开发平台:

Visual C++

  1. ; CLW file contains information for the MFC ClassWizard
  2. [General Info]
  3. Version=1
  4. LastClass=CMy12Dlg
  5. LastTemplate=CDialog
  6. NewFileInclude1=#include "stdafx.h"
  7. NewFileInclude2=#include "12.h"
  8. ClassCount=4
  9. Class1=CMy12App
  10. Class2=CMy12Dlg
  11. ResourceCount=3
  12. Resource2=IDR_MAINFRAME
  13. Resource3=IDD_MY12_DIALOG
  14. [CLS:CMy12App]
  15. Type=0
  16. HeaderFile=12.h
  17. ImplementationFile=12.cpp
  18. Filter=N
  19. [CLS:CMy12Dlg]
  20. Type=0
  21. HeaderFile=12Dlg.h
  22. ImplementationFile=12Dlg.cpp
  23. Filter=D
  24. BaseClass=CDialog
  25. VirtualFilter=dWC
  26. [DLG:IDD_MY12_DIALOG]
  27. Type=1
  28. Class=CMy12Dlg
  29. ControlCount=2
  30. Control1=IDOK,button,1342242817
  31. Control2=IDCANCEL,button,1342242816