test.clw
上传用户:posgewe
上传日期:2022-07-05
资源大小:164k
文件大小:1k
源码类别:

系统编程

开发平台:

Visual C++

  1. ; CLW file contains information for the MFC ClassWizard
  2. [General Info]
  3. Version=1
  4. LastClass=CTestDlg
  5. LastTemplate=CDialog
  6. NewFileInclude1=#include "stdafx.h"
  7. NewFileInclude2=#include "test.h"
  8. ClassCount=4
  9. Class1=CTestApp
  10. Class2=CTestDlg
  11. ResourceCount=3
  12. Resource2=IDR_MAINFRAME
  13. Resource3=IDD_TEST_DIALOG
  14. [CLS:CTestApp]
  15. Type=0
  16. HeaderFile=test.h
  17. ImplementationFile=test.cpp
  18. Filter=N
  19. [CLS:CTestDlg]
  20. Type=0
  21. HeaderFile=testDlg.h
  22. ImplementationFile=testDlg.cpp
  23. Filter=D
  24. BaseClass=CDialog
  25. VirtualFilter=dWC
  26. [DLG:IDD_TEST_DIALOG]
  27. Type=1
  28. Class=CTestDlg
  29. ControlCount=5
  30. Control1=IDOK,button,1342242817
  31. Control2=IDCANCEL,button,1342242816
  32. Control3=IDC_BUTTON1,button,1342242816
  33. Control4=IDC_BUTTON2,button,1342242816
  34. Control5=IDC_BUTTON3,button,1342242816