Tray.clw
上传用户:xsxdsb
上传日期:2009-12-14
资源大小:672k
文件大小:1k
源码类别:

书籍源码

开发平台:

Visual C++

  1. ; CLW file contains information for the MFC ClassWizard
  2. [General Info]
  3. Version=1
  4. LastClass=CTrayDlg
  5. LastTemplate=CDialog
  6. NewFileInclude1=#include "stdafx.h"
  7. NewFileInclude2=#include "Tray.h"
  8. ClassCount=4
  9. Class1=CTrayApp
  10. Class2=CTrayDlg
  11. Class3=CAboutDlg
  12. ResourceCount=3
  13. Resource1=IDD_ABOUTBOX
  14. Resource2=IDR_MAINFRAME
  15. Resource3=IDD_TRAY_DIALOG
  16. [CLS:CTrayApp]
  17. Type=0
  18. HeaderFile=Tray.h
  19. ImplementationFile=Tray.cpp
  20. Filter=N
  21. [CLS:CTrayDlg]
  22. Type=0
  23. HeaderFile=TrayDlg.h
  24. ImplementationFile=TrayDlg.cpp
  25. Filter=D
  26. BaseClass=CDialog
  27. VirtualFilter=dWC
  28. [CLS:CAboutDlg]
  29. Type=0
  30. HeaderFile=TrayDlg.h
  31. ImplementationFile=TrayDlg.cpp
  32. Filter=D
  33. [DLG:IDD_ABOUTBOX]
  34. Type=1
  35. Class=CAboutDlg
  36. ControlCount=4
  37. Control1=IDC_STATIC,static,1342177283
  38. Control2=IDC_STATIC,static,1342308480
  39. Control3=IDC_STATIC,static,1342308352
  40. Control4=IDOK,button,1342373889
  41. [DLG:IDD_TRAY_DIALOG]
  42. Type=1
  43. Class=CTrayDlg
  44. ControlCount=4
  45. Control1=IDOK,button,1342242817
  46. Control2=IDCANCEL,button,1342242816
  47. Control3=IDC_WRITE,button,1342242816
  48. Control4=IDC_REMOVE,button,1342242816