多路选择器(使用when-else语句).txt
上传用户:easylife05
上传日期:2013-03-21
资源大小:42k
文件大小:1k
源码类别:
VHDL/FPGA/Verilog
开发平台:
C/C++
- -- Multiplexer 16-to-4 using if-then-elsif-else Statement
- -- download from www.pld.com.cn & www.fpga.com.cn
- library ieee;
- use ieee.std_logic_1164.all;
- entity mux is port(
- a, b, c, d: in std_logic_vector(3 downto 0);
- s: in std_logic_vector(1 downto 0);
- x: out std_logic_vector(3 downto 0));
- end mux;
- architecture archmux of mux is
- begin
- mux4_1: process (a, b, c, d)
- begin
- if s = "00" then
- x <= a;
- elsif s = "01" then
- x <= b;
- elsif s = "10" then
- x <= c;
- else
- x <= d;
- end if;
- end process mux4_1;
- end archmux;