BCDADD.VHD
上传用户:dgjihui88
上传日期:2013-07-23
资源大小:43k
文件大小:1k
源码类别:
VHDL/FPGA/Verilog
开发平台:
MultiPlatform
- --bcdadd.vhd 1 digit bcd adder
- library ieee ;
- use ieee.std_logic_1164.all;
- use work.components.all;
- entity bcdadd is
- port(
- a : in std_logic_vector(3 downto 0);--砆