基于FPGA的Viterbi译码器设计及实现
文件大小: 254k
源码售价: 10 个金币 积分规则     积分充值
资源说明:卷积码是广泛应用于卫星通信、无线通信等各种通信系统的信道编码方式。Viterbi算法是一种似然译码算法。在码的约束度较小时,它比其它概率译码算法效率更高、速度更快,译码器的硬件结构比较简单。随着可编程逻辑技术的不断发展,其高密度、低功耗、使用灵活、设计快速、成本低廉、现场可编程和反复可编程等特性,使FPGA逐步成为Viterbi译码器设计的方法。项目目的是用FPGA实现一个Viterbi译码器。   一、译码器功能分析   译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。Viterbi译码器是以Viterbi算法为基础设计的一种
本源码包内暂不包含可直接显示的源代码文件,请下载源码包。