Go To English Version 超过100万源码资源,1000万源码文件免费下载
  • Control.rar 维特比译码器控制器部分Verilog代码
  • convlutionalcode.rar 卷积码的编译码代码,译码为维特比译码算法。
  • 8cpfskmodule.rar 此程序为8cpfsk调制解调部分,解调用维特比实现
  • ConvolutionalPViterbi.rar 卷积编码和维特比软判决译码,可以应用到OFDM系统中
  • VB_0416.rar 卷积码维特比译码算法的实现,自己写的程序,调试通过
  • tempdata_viterbi_v.rar 利用维特比算法求解译码后的误码率,并给出误码率曲线
  • my_viterbi.rar Viterbi算法及其实现,C++可发的,HMM的初学者特别适用。
  • viterbi_de.rar 卷积码译码霍夫曼编码及数学概率论贝叶斯公式; 卷积译码软判决通过3位量化可以比硬判决提高约2dB信噪比; 了解最大似然译码准则;
  • ImageProcessing.zip 卷积码的编译码,译码算法为维特比算法,适合学习信道编码课程的初学者参考
  • 8.rar 8. 单径瑞利信道中的四发四收V-BLAST: (1) 请设计一种具体的导引辅助的信道估计方法,用Simulink进行仿真,测量16QAM调制时的误码率性能。画出比特信噪比与信道估计均方误差的关系曲线,画出比特信噪比与误码率的关系曲线。